Skip to main content

Design Methodologies for on-Chip Inductive Interconnect

  • Chapter
Interconnect-Centric Design for Advanced SoC and NoC

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. National Technology Roadmap for Semiconductors: Semiconductor Industry Association, 1997.

    Google Scholar 

  2. International Technology Roadmap for Semiconductors: Semiconductor Industry Association, Edition 2001.

    Google Scholar 

  3. S. Borkar, “Obeying Moore’s Law beyond 0.18 Micron,” Proceedings of the ASIC/SOC Conference, pp. 26–31, September 2000.

    Google Scholar 

  4. A. V. Mezhiba and E. G. Friedman, “Trade-offs in CMOS VLSI Circuits,” Trade-offs in Analog Circuit Design The Designer’s Companion, C. Toumazou, G. Moschytz, and B. Gilbert (Eds.), Dordrecht, The Netherlands: Kluwer Academic Publishers, pp. 75–114, 2002.

    Google Scholar 

  5. T. Sakurai, “Approximation of Wiring Delay in MOSFET LSI,” IEEE Journal of Solid-State Circuits, Vol. SC-18, No. 4, pp. 418–426, August 1983.

    Google Scholar 

  6. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Figure of Merit to Characterize the Importance of On-Chip Inductance,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 7, No. 4, pp. 442–449, December 1999.

    Article  Google Scholar 

  7. J. A. Davis and J. D. Meindl, “Compact Distributed RLC Interconnect Models-Part I: Single Line Transient, Time Delay, and Overshoot Expressions,” IEEE Transactions on Electron Devices, Vol. 47, No. 11, pp. 2068–2077, November 2000.

    Google Scholar 

  8. A. Deutsch et al., “On-Chip Wiring Design Challenges for GHz Operation,” Proceedings of the IEEE, Vol. 89, No. 4, pp. 529–555, April 2001.

    Article  MathSciNet  Google Scholar 

  9. J. J. Cong and K. Leung “Optimal Wiresizing Under Elmore Delay Model,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 14, No. 3, pp. 321–336, March 1995.

    Article  Google Scholar 

  10. H. B. Bakoglu and J. D. Meindl, “Optimal Interconnection Circuits for VLSI,” IEEE Transactions on Electron Devices, Vol. ED-32, No. 5, pp. 903–909, May 1985.

    Google Scholar 

  11. B. S. Cherkauer and E. G. Friedman, “A Unified Design Methodology for CMOS Tapered Buffers,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. VLSI-3, No. 1, pp. 99–111, March 1995.

    Google Scholar 

  12. V. Adler and E. G. Friedman, “Repeater Design to Reduce Delay and Power in Resistive Interconnect,” IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, Vol. 45, No. 5, pp. 607–616, May 1998.

    Article  Google Scholar 

  13. C. J. Alpert, A. Devgan, J. P. Fishburn, and S. T. Quay, “Interconnect Synthesis Without Wire Tapering,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 20, No. 1, pp. 90–104, January 2001.

    Article  Google Scholar 

  14. Y. I. Ismail and E. G. Friedman, “E ects of Inductance on the Propagation Delay and Repeater Insertionin VLSI Circuits,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 8, No. 2, pp. 195–206, April 2000.

    Article  Google Scholar 

  15. K. Banerjee and A. Mehrotra, “Analysis of On-Chip Inductance Effects for Distributed RLC Interconnects,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 21, No. 8, pp. 904–915, August 2002.

    Article  Google Scholar 

  16. J. P. Fishburn and C. A. Schevon, “Shaping A Distributed-RC Line to Minimize Elmore Delay,” IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, Vol. 42, No. 12, pp. 1020–1022, December 1995.

    Article  Google Scholar 

  17. M. A. El-Moursy and E. G. Friedman, “Optimizing Inductive Interconnect for Low Power,” System-on-Chip for Real-Time Applications, W. Badawy and G. A. Jullien (Eds.), Kluwer Academic Publishers, pp. 380–391, 2003.

    Google Scholar 

  18. G. Cappuccino and G. Cocorullo, “A Time-domain Model Power Dissipation of CMOS Bu er Driving Lossy Lines,” Electronics Letters, Vol. 35, No. 12, pp. 959–960, June 1999.

    Article  Google Scholar 

  19. E. B. Rosa, “The Self and Mutual Inductances of Linear Conductors,” Bulletin of the National Bureau of Standards, Vol. 4, No. 2, pp. 301–344. Government Printing Offce, Washington, January 1908.

    Google Scholar 

  20. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Exploiting On-Chip Inductance in High Speed Clock Distribution Networks,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 9, No. 6, pp. 963–973, December 2001.

    Article  Google Scholar 

  21. M. A. El-Moursy and E. G. Friedman, “Inductive Interconnect Width Optimization For Low Power,” Proceedings of the IEEE International Symposium on Circuits and Systems, Vol. 5, pp. 273–276, May 2003.

    Google Scholar 

  22. M. A. El-Moursy and E. G. Friedman, “Optimizing Inductive Interconnect for Low Power,” Canadian Journal of Electrical and Computer Engineering, pp. 183–187, Vol. 27, No. 4, October 2002.

    Google Scholar 

  23. M. A. El-Moursy and E. G. Friedman, “Optimum Wire Sizing and Repeater Insertion in Distributed RLC Interconnect,” Proceedings of the 26th Annual IEEE EDS/CAS Activities in Western New York Conference, p. 6, November 2002.

    Google Scholar 

  24. M. A. El-Moursy and E. G. Friedman, “Optimum Wire Sizing of RLC Interconnect With Repeaters,” Proceedings of the IEEE Great Lakes Symposium on VLSI, pp. 27–32, April 2003.

    Google Scholar 

  25. M. A. El-Moursy and E. G. Friedman, “Shielding Effect of On-Chip Interconnect Inductance,” Proceedings of the IEEE Great Lakes Symposium on VLSI, pp. 165–170, April 2003.

    Google Scholar 

  26. P. R. O’Brien and T. L. Savarino, “E cient On-Chip Delay Estimation for Leaky Models of Multiple-Source Nets,” Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 9.6.1–9.6.4, May 1990.

    Google Scholar 

  27. X. Yang, C-K. Chang, W.H. Ku, and R.J. Carragher, “Hurwitz Stable Reduced Order Modeling for RLC Interconnect Trees,” Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 222–228, November 2000.

    Google Scholar 

  28. J. Qian, S. Pullela, and L. Pillage, “Modeling the ‘E ective Capacitance’ for the RC Interconnect of CMOS Gates,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 13, No. 12, pp. 1526–1535, December 1994.

    Article  Google Scholar 

  29. N. Delorme, M. Belleville, and J. Chilo, “Inductance and Capacitance Analytic Formulas for VLSI Interconnects,” Electronics Letters, Vol. 32, No. 11, pp. 996–997, May 1996.

    Article  Google Scholar 

  30. A. Nalamalpu, S. Srinivasan, and W. Burleson, “Boosters for Driving Long On-Chip Interconnects-Design Issues, Interconnect Synthesis and Comparison with Repeaters,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 21, No. 1, pp. 50–62, January 2002.

    Article  Google Scholar 

  31. R. M. Secareanu and E. G. Friedman, “Transparent Repeaters,” Proceedings of the IEEE Great Lakes Symposium on VLSI, pp. 63–66, March 2000.

    Google Scholar 

Download references

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer Science + Business Media, Inc.

About this chapter

Cite this chapter

El-Moursy, M.A., Friedman, E.G. (2005). Design Methodologies for on-Chip Inductive Interconnect. In: Nurmi, J., Tenhunen, H., Isoaho, J., Jantsch, A. (eds) Interconnect-Centric Design for Advanced SoC and NoC. Springer, Boston, MA. https://doi.org/10.1007/1-4020-7836-6_4

Download citation

  • DOI: https://doi.org/10.1007/1-4020-7836-6_4

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4020-7835-4

  • Online ISBN: 978-1-4020-7836-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics