Skip to main content

Testing Defects and Parametric Variations in RAMs

  • Chapter
  • 1059 Accesses

Part of the book series: Frontiers in Electronic Testing ((FRET,volume 34))

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   169.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. M.S. Abadir and H.K. Reghbati, “Functional Testing of Semiconductor Random Access Memories,” ACM Computing Surveys, vol. 25, no.3, pp. 175-198, September 1983.

    Article  Google Scholar 

  2. Z. Al-Ars, and A.J. van de Goor, “Static and Dynamic Behavior of Memory Cell Array Opens and Shorts in Embedded DRAMs” Proceedings of IEEE Asian Test Symposium, 2001, pp. 496-503.

    Google Scholar 

  3. M. Azimane, and A.K. Majhi, “New Test Methodology for Resistive Open Defects in Memory Address Decoders,” Proceedings of IEEE VLSI Test Symposium, 2004, pp. 25-29.

    Google Scholar 

  4. M.A. Breuer and A.D. Friedman, Diagnosis and Reliable Design of Digital Systems, Rockville, MD: Computer Science Press, 1976.

    Google Scholar 

  5. E.M.J.G. Bruls, “Reliability Aspects of Defects Analysis,” Proceedings of European Test Conference, 1993, pp. 17-26.

    Google Scholar 

  6. B. Calhoun, and A. Chandrakasan, “A 246kb Sub-threshold SRAM in 65 nm CMOS, Proceedings of IEEE International Solid State Circuits Conference, pp. 628-629, February 2006.

    Google Scholar 

  7. R. Dekker, F. Beenker and L. Thijssen, “Fault Modeling and Test Algorithm Development for Static Random Access Memories,” Proceedings of International Test Conference, 1988, pp. 343-352.

    Google Scholar 

  8. L. Dilillo, P. Girard, S. Pravosssoudovitch and A. Virazel, S. Borri and M. Hage-Hassan, “Resistive-Open Defects in Embedded SRAM core cells: Analysis and March Test Solution, IEEE Design Automation Conference, 2005, pp. 857-862.

    Google Scholar 

  9. B.N. Dostie, A. Silburt and V.K. Agarwal, “Serial Interfacing for Embedded- Memory Testing,” IEEE Design & Test of Computers, vol. 7, pp. 52-63, April 1990.

    Article  Google Scholar 

  10. F.J. Ferguson, and J.P. Shen, “Extraction and simulation of realistic CMOS faults using inductive fault analysis,” Proceedings of International Test Conference, 1988, pp. 475-484.

    Google Scholar 

  11. A.V. Ferris-Prabhu, “Computation of the critical area in semiconductor yield theory,” Proceedings of the European Conference on Electronic Design Automation, 1984, pp. 171-173.

    Google Scholar 

  12. M. Franklin, K.K. Saluja and K. Kinoshita, “Design of a BIST RAM with Row/ Column Pattern Sensitive Fault Detection Capability,” Proceedings of International Test Conference, 1989, pp. 327-336.

    Google Scholar 

  13. M. Franklin, K.K. Saluja and K. Kinoshita, “Row/Column Pattern Sensitive Fault Detection in RAMs via Built-in Self-Test,” Proceedings of Fault Tolerant Computing Symposium, June 1989, pp. 36-43.

    Google Scholar 

  14. M. Franklin, K.K. Saluja, and K. Kinoshita, “A Built-In Self-Test Algorithm for Row/Column Pattern Sensitive Faults in RAMs,” IEEE Journal of Solid State Circuits, vol. 25, no. 2, pp. 514-523, April 1990.

    Article  Google Scholar 

  15. E. Gizdarski,” Detection of Delay Faults in Memory Address Decoders,” Journal of Electronic Testing: Theory and Applications, Vol. 16, No. 4, pp. 381-387, August 2004.

    Article  Google Scholar 

  16. A.J. van de Goor, Testing Semiconductor Memories, Theory and Practice, John Wiley and Sons, 1991.

    Google Scholar 

  17. T. Guckert, P. Schani, M. Philips, M. Seeley and H. Herr, “Design and Process Issues for Elimination of Device Failures Due to ‘Drooping’ Vias,” Proceedings of International Symposium for Testing and Failure Analysis (ISTFA), 1991, pp. 443- 451.

    Google Scholar 

  18. S. Hamdioui, G. Gaydadjiev, and A.J. van de Goor, “The State of the art and Future Trends in Testing Embedded Memories,” Proceedings of IEEE International Workshop on Memory Technology, Design and Testing, 2004, pp. 54-59.

    Google Scholar 

  19. J.P. Hayes, “Detection of Pattern-Sensitive Faults in Random Access Memories,” IEEE Transactions on Computers, vol. C-24, no.2, pp. 150-157, February 1975.

    Google Scholar 

  20. J.P. Hayes, “Testing Memories for Single-Cell Pattern-Sensitive Faults,” IEEE Transactions on Computers, vol. C-29, no.3, pp. 249-254, March 1980.

    MathSciNet  Google Scholar 

  21. C. Hill, “Definitions of Noise Margin in Logic Systems,” Mullard Technical Communication, vol. 89, pp. 239-245, February 1967.

    Google Scholar 

  22. L.K. Horning, J.M. Soden, R.R. Fritzemeier and C.F. Hawkins, “Measurements of Quiescent Power Supply Current for CMOS ICs in Production Testing,” Proceedings of International Test Conference, 1987, pp. 300-309.

    Google Scholar 

  23. R-F Huang, Y-F Chou and C-W Wu, “Defect Oriented Fault Analysis for SRAM,” Proceedings of IEEE Asian Test Symposium, 2003, pp. 1-6.

    Google Scholar 

  24. J. Inoue, T. Matsumura, M. Tanno and J. Yamada, “Parallel Testing Technology for VLSI Memories,” Proceedings of International Test Conference, 1987, pp. 1066-1071.

    Google Scholar 

  25. M. Inoue, T. Yamada and A. Fujiwara, “A New Testing Acceleration Chip for Low-Cost Memory Test,” IEEE Design & Test of computers, vol. 10, pp. 15-19, March 1993.

    Article  Google Scholar 

  26. V-K Kim and T. Chen, “On Comparing Functional Fault Coverage and Defect Coverage for Memory Testing,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 18, no. 11, pp. 1676-1683.

    Google Scholar 

  27. M. Klaus, and A.J. van de Goor, “Tests for Resistive and Capacitive Defects in Address Decoders, Proceedings of IEEE Design and Test in Europe Conference, 2001, pp. 31-36.

    Google Scholar 

  28. J. Knaizuk and C.R.P. Hartman, “An Optimal Algorithm for Testing Stuck-At Faults in Random Access Memories,” IEEE Transactions on Computers, vol. C- 26, no. 11, pp. 1141-1144, November 1977.

    Google Scholar 

  29. S. Koeppe, “Optimal layout to avoid CMOS stuck-open faults,” Proceedings of 24th Design Automation Conference, 1987, pp. 829-835.

    Google Scholar 

  30. M. Kumanoya, et al., “A 90ns 1Mb DRAM with Multi-Bit Test Mode,” International Solid State Circuits Conference; Digest of Technical Papers, 1985, pp. 240- 241.

    Google Scholar 

  31. A. Jee, and F.J. Ferguson, “Carafe: An Inductive Fault Analysis Tool for CMOS VLSI Circuits,” Proceedings of IEEE VLSI Test Symposium, 1993, pp. 92-98.

    Google Scholar 

  32. A. Jee, J.E. Colburn, V. Swamy Irrinki and M. Puri, “Optimizing Memory Tests by Analyzing Defect Coverage,” IEEE International Workshop on Memory Technology, Design, and Test, 2000, pp. 20-25.

    Google Scholar 

  33. K.J. Lee and M.A. Breuer, “Design and Test Rules for CMOS Circuits to Facilitate IDDQ Testing of Bridging Faults,” IEEE Transactions on Computer-Aided Design, vol. 11, no. 5, pp. 659-669, May 1992.

    Article  Google Scholar 

  34. M.E. Levitt and J.A. Abraham, “Physical Design of Testable VLSI: Techniques and Experiments,” IEEE Journal of Solid State Circuits, vol. 25, no. 2, pp. 474- 481, April 1990.

    Article  Google Scholar 

  35. K. Lin, and C. Wu, “Testing content-addressable memories using functional fault models and march-like algorithms,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, pp. 577-588, 2000.

    Google Scholar 

  36. J.-F. Li, R.-S. Tzeng, and C.-W. Wu, “Testing and diagnosis methodologies for embedded content addressable memories”, Journal of Electronic Testing: Theory and Applications, vol. 19, no. 2, pp. 207-215, Apr. 2003.

    Article  Google Scholar 

  37. J.-F. Li, K.-L. Cheng, C.-T. Huang, C.-W. Wu, “March-based RAM diagnosis algorithms for stuck-at and coupling faults,” Proc. International Test Conference (ITC), pp. 758-767, 2001.

    Google Scholar 

  38. J.-F. Li, “Testing priority address encoder faults of content addressable memories,” Proc. International Test Conference (ITC), paper 33.2, pp. 1-10, 2005.

    Google Scholar 

  39. K.-J. Lee, C. Kim, S. Kim, U.-R. Cho, and H.-G. Byun, “Modeling and Testing of Faults in TCAMs,” Proc. Asian Simulation Conference (AsianSim), pp. 521-528, 2004.

    Google Scholar 

  40. J.-F. Li, and C.-K. Lin, “Modeling and Testing Comparison Faults for Ternary Content Addressable Memories,” Proc. IEEE VLSI Test Symposium (VTS), pp. 60-65, 2005.

    Google Scholar 

  41. J. Lostroh, E. Seevink, and J. de Groot, “Worst-case Static Noise Margin Criteria for Logic Circuits and their Mathematical Equivalence,” IEEE Journal of Solid State Circuits, vol. sc-18, pp. 803-807, December 1983.

    Article  Google Scholar 

  42. TM Mak, D. Bhattacharya, C. Prunty, B. Roeder, N. Ramadan, J. Ferguson and J. Yu, “Cache RAM Inductive Fault Analysis with Fab Defect Modeling,” Proceedings of IEEE International Test Conference, 1998, pp. 862-871.

    Google Scholar 

  43. W. Maly, “Realistic Fault Modeling for VLSI Testing,” Proceedings of 24th ACM/ IEEE Design Automation Conference, 1987, pp.173-180.

    Google Scholar 

  44. W. Maly and M. Patyra, “Design of ICs Applying Built-in Current Testing,” Journal of Electronic Testing: Theory and Applications, vol. 3, pp. 397-406, November 1992.

    Article  Google Scholar 

  45. Y. Matsuda, et al., “A New Parallel Array Architecture For Parallel Testing in VLSI Memories,” Proceedings of International Test Conference, 1989, pp. 322- 326.

    Google Scholar 

  46. A. Majhi, M. Azimane, S. Eichenberger and F. Bowen, “Memory Testing Under Different Stress Conditions: An Industrial Evaluation, Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, 2005, pp. 438-443.

    Google Scholar 

  47. P. Mazumder, “Parallel Testing of Parametric Faults in Three Dimensional Random Access Memory,” IEEE Journal of Solid State Circuits, vol. SC-23, pp. 933- 941, 1988.

    Article  Google Scholar 

  48. P. Mazumder and K. Chakraborty, Testing and Testable Design of High-Density Random-Access Memories, Boston: Kluwer Academic Publishers, 1996.

    Google Scholar 

  49. H. McAdams, et al., “A 1-Mbit CMOS Dynamic RAM with Design For Test Functions,” IEEE Journal of Solid State Circuits, vol. SC-21, pp. 635-641, October 1986.

    Google Scholar 

  50. R. Meershoek, B. Verhelst, R. McInerney and L. Thijssen, “Functional and I_DDQ Testing on a Static RAM,” Proceedings of International Test Conference, 1990, pp. 929-937.

    Google Scholar 

  51. A. Meixner and W. Maly, “Fault Modeling for the Testing of Mixed Integrated Circuits,” Proceedings of International Test Conference, 1991, pp. 564-572.

    Google Scholar 

  52. A. Meixner, J. Banik, “Weak Write Test Mode: An SRAM Cell Stability Design For Test Technique,” Proceedings of IEEE International Test Conference, pp. 1043-1052, October 1997.

    Google Scholar 

  53. R.R. Montanes. J.P. de Gyvez, and P. Volf, Resistance Characterization for Weak Open Defects,” IEEE Design & Test of Computers, vol. 19, no. 5 pp. 18-26, Sept-Oct. 2002.

    Article  Google Scholar 

  54. S. Naik, F. Agricola and W. Maly, “Failure analysis of High Density CMOS SRAMs Using Realistic Defect Modeling and I_DDQ Testing,” IEEE Design & Test of Computers, vol. 10, pp. 13-23, June 1993.

    Article  Google Scholar 

  55. R. Nair, “Comments on an Optimal Algorithm for Testing Stuck-at Faults in Random Access Memories,” IEEE Transactions on Computers, vol. C-28, no. 3, pp. 258-261, March 1979.

    MathSciNet  Google Scholar 

  56. R. Nair, S.M. Thatte and J.A. Abraham, “Efficient Algorithms for Testing Semiconductor Random Access Memories,” IEEE Transactions on Computers, vol. C- 27, no. 6, pp. 572-576, June 1978.

    MathSciNet  Google Scholar 

  57. H.D. Oberle and P. Muhmenthaler, “Test Pattern-Development and Evaluation for DRAMs with Fault Simulator RAMSIM,” Proceedings of International Test Conference, 1991, pp. 548-555.

    Google Scholar 

  58. J. Otterstedt, D. Niggemeyer, and T.W. Williams, “Detection of CMOS Address Decoder Open Faults with March and Pseudo Random Memory Test,” Proceedings of IEEE International Test Conference, 1998, pp. 53-62.

    Google Scholar 

  59. C.A. Papachristou and N.B. Sahgal, “An Improved Method for Detecting Functional Faults in Semiconductor Random Access Memories,” IEEE Transactions on Computers, vol. C-34, no.2, pp. 110-116, February 1985.

    Google Scholar 

  60. A Pavlov, M. Sachdev, and J. Pineda de Gyvez, “An SRAM Weak Cell Fault Model and a DFT Technique with Programmable Detection Threshold,” Proceedings of IEEE International Test Conference, pp. 1106-1115, October 2004.

    Google Scholar 

  61. A Pavlov, M. Azimane, J. Pineda de Gyvez, and M. Sachdev, “Programmable Techniques for Cell Stability Test and Debug in Embedded SRAMs,” Proceedings of IEEE Custom Integrated Circuits Conference, pp. 443-446, September 2005.

    Google Scholar 

  62. A Pavlov, M. Azimane, J. Pineda de Gyvez, and M. Sachdev, “Wordline Pulsing Technique for Stability Fault Detection in SRAM Cells, Proceedings of IEEE International Test Conference, pp. , October 2005.

    Google Scholar 

  63. R. Perry, “I_DDQ testing in CMOS digital ASICs,” Journal of Electronic Testing: Theory and Applications, vol. 3, pp. 317-325, November 1992.

    Article  Google Scholar 

  64. B. Prince, Semiconductor Memories, Chichester, UK: John Wiley and Sons, 1991.

    Google Scholar 

  65. J. Rabaey, A. Chandrakasan, and B. Nicolic, “Digital Integrated Circuits: A Design Perspective, Second Edition, Prentice Hall, 2003.

    Google Scholar 

  66. M.A. Rich and D.E. Gentry, “The Economics of Parallel Testing,” Proceedings of International Test Conference, 1983, pp. 728-737.

    Google Scholar 

  67. M. Sachdev and M. Verstraelen, “Development of a Fault Model and Test Algorithms for Embedded DRAMs,” Proceedings of the International Test Conference, 1993, pp. 815-824.

    Google Scholar 

  68. M. Sachdev, “Transforming Sequential Logic in Digital CMOS ICs for Voltage and I_DDQ Testing,” Proceedings of European Design and Test Conference, 1994, pp. 361-365.

    Google Scholar 

  69. M. Sachdev, “Reducing the CMOS RAM Test Complexity with IDDQ and Voltage Testing,” Journal of Electronic Testing: Theory and Applications (JETTA), vol. 6, no. 2, pp. 191-202, April 1995.

    Google Scholar 

  70. J. Savir, W.H. McAnney and S.R. Vecchio, “Testing for Coupled Cells in Random Access Memories,” Proceedings of International Test Conference, 1989, pp. 439- 451.

    Google Scholar 

  71. J. Segura and A. Rubio, “A Detailed Analysis of CMOS SRAMs with Gate Oxide Short Defects,” IEEE Journal of Solid State Circuits, vol. 32, no. 10, pp. 1543-1550, October 1997.

    Article  Google Scholar 

  72. Semiconductor Industry Association (SIA), “The National Technology Roadmap for Semiconductors,” pp. 94-99, 1994.

    Google Scholar 

  73. A.H. Shah, et al., “A 4-Mbit DRAM with Trench Transistor Cell,” IEEE Journal of Solid State Circuits, vol. SC-21, pp. 618-627, October 1986.

    Google Scholar 

  74. J.P. Shen, W. Maly and F.J. Ferguson, “Inductive Fault Analysis of MOS Integrated Circuits,” IEEE Design & Test of Computers, vol. 2, no. 6, pp. 13-26, 1985.

    Article  Google Scholar 

  75. P. Sidorowicz, “Modeling and testing transistor faults in content-addressable memories,” International Workshop on Memory Technology, Design, and Test, pp. 83-90, 1999.

    Google Scholar 

  76. J.M. Soden, C.F. Hawkins, R.K. Gulati and W. Mao, “I_DDQ Testing: A Review,” Journal of Electronic Testing: Theory and Applications, vol. 3, pp. 291-303, November 1992.

    Article  Google Scholar 

  77. T. Sridhar, “A New Parallel Test Approach for Large Memories,” Proceedings of International Test Conference, 1985, pp. 462-470.

    Google Scholar 

  78. F.A. Steenhof, C.G. van der Sanden and B.C. Pham, “Design Principles of a DRAM Cell Matrix for Embedded Applications,” Nat.Lab. internal technical note, TN 250/90.

    Google Scholar 

  79. P. Stolk, H. Tuinhout et al., “CMOS Device Optimization for Mixed-Signal Technologies,” Proceedings of IEEE International Electron Devices Meeting, pp. 10.2.1-10.2.4, October 2001.

    Google Scholar 

  80. S.T. Su and R.Z. Makki, “Testing of Static Random Access Memories by Monitoring Dynamic Power Supply Current,” Journal of Electronic Testing: Theory and Applications, vol. 3, pp. 265-278, August 1992.

    Article  Google Scholar 

  81. D.S. Suk and S.M. Reddy, “Test Procedure for a Class of Pattern-Sensitive Faults in Random Access Memories,” IEEE Transactions on Computers, vol. C-29, no.3, pp. 419-429, June 1980.

    Google Scholar 

  82. D.S. Suk and S.M. Reddy, “A March Test for Functional Faults in Semiconductor Random Access Memories,” IEEE Transactions on Computers, vol. C-30, no.12, pp. 982-985, December 1981.

    Google Scholar 

  83. M. Syrzycki, “Modeling of Spot Defects in MOS Transistors,” Proceedings of International Test Conference, 1987, pp. 148-157.

    Google Scholar 

  84. E. Takeda, et al., “VLSI Reliability Challenges: From Device Physics to Wafer Scale Systems,” Proceedings of IEEE, vol. 81, no. 5, 1993, pp. 653-674.

    Article  Google Scholar 

  85. K. Thaller, “A Highly Efficient Transparent Online Memory Test,” Proceedings of IEEE International Test Conference, 2001, pp. 230-239.

    Google Scholar 

  86. S.M. Thatte and J.A. Abraham, “Testing of Semiconductor Random Access Memories,” Proceedings of Fault Tolerant Computing Symposium, 1977, pp. 81-87.

    Google Scholar 

  87. H. Walker and S.W. Director, “VLASIC: A Catastrophic Fault Yield Simulator for Integrated Circuits,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 5, no. 4, pp. 541-556, 1986.

    Article  Google Scholar 

  88. D. Wright, and M. Sachdev, “Transistor-Level Fault Analysis and Test Algorithm Development for Ternary Dynamic Content Addressable Memories,” IEEE International Test Conference, September 2003.

    Google Scholar 

  89. H. Yokoyama, H. Tamamoto and Y. Narita, “A Current Testing for CMOS Static RAMs,” Proceedings of IEEE International Workshop on Memory Technology, Design and Testing, August 1993, pp. 137-142.

    Google Scholar 

  90. Y. You and J.P. Hayes, “A Self Testing Dynamic RAM Chip,” IEEE Journal of Solid State Circuits, vol. SC-20, no.1, pp. 428-435, February 1985.

    Google Scholar 

  91. K. Zarrineh, A. P. Deo and R. D. Adams, “Defect Analysis and Realistic Fault Model Extensions for Static Random Access Memories,” IEEE International Workshop on Memory Technology, Design, and Test, 2000, pp. 119-124.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer

About this chapter

Cite this chapter

Sachdev, M., Gyvez, J.P.d. (2007). Testing Defects and Parametric Variations in RAMs. In: Sachdev, M., Gyvez, J.P.d. (eds) Defect-Oriented Testing for Nano-Metric CMOS VLSI Circuits. Frontiers in Electronic Testing, vol 34. Springer, Boston, MA. https://doi.org/10.1007/0-387-46547-2_5

Download citation

  • DOI: https://doi.org/10.1007/0-387-46547-2_5

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-46546-3

  • Online ISBN: 978-0-387-46547-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics