Skip to main content

Digital CMOS Fault Modeling

  • Chapter
  • 1065 Accesses

Part of the book series: Frontiers in Electronic Testing ((FRET,volume 34))

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   169.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. M. S. Abadir, and H. K. Reghbati, “Functional Testing of Semiconductor Random Access Memories,” ACM Computing Surveys, 15(3), pp. 175-198, Sept. 1983.

    Article  Google Scholar 

  2. J. A. Abraham, “Fault Modeling in VLSI,” VLSI Testing, vol. 5, pp. 1-27, 1986.

    Google Scholar 

  3. V. D. Agrawal, “Synchronous Path Analysis in MOS Circuit Simulator,” Proceedings of the 19th Design Automation Conference, pp. 629-635, 1982.

    Google Scholar 

  4. A. Asenov, A.R. Brown, J.H. Davies, S. Kaya, G. Slavcheva, “Simulation of Intrinsic Parameter Fluctuations in Deca-nanometer and Nanometer-scale MOSFETs,” IEEE Trans. on Electron Devices, vol. 50, No. 9, pp. 1837–1852, 2003.

    Article  Google Scholar 

  5. P. Banerjee, and J. A. Abraham, “Characterization and Testing of Physical Failures in MOS Logic Circuits,” IEEE Design and Test of Computers, vol. 1, pp. 76-86, August 1984.

    Google Scholar 

  6. H. B. Bakoglu, and J. D. Meindel, “Optimal Interconnection Circuits for VLSI,” IEEE Transactions on Electron Devices, vol. ED-32, no. 5, pp. 903-909, May 1985.

    Google Scholar 

  7. F. P. M. Beenker, K. J. E. van Eerdewijk, R. B. W. Gerritsen, F. N. Peacock, and M. van der Star, “Macro Testing, Unifying IC and Board Test,” IEEE Design and Test of Computers, vol. 3, pp. 26-32, December 1986.

    Google Scholar 

  8. S. Bothra, B. Rogers, M. Kellem, and C. M. Osburn, “Analysis of the Effects of Scaling on Interconnect Delay in ULSI Circuits,” IEEE Transactions on Electron Devices, vol. ED-40, no. 3, pp. 591-597, March 1993.

    Article  Google Scholar 

  9. H. Bouwmeester, S. Oostdijk, F. Bouwmann, R. Stans, L. Thijssen, and F. Beenker, “Minimizing test time by exploiting parallelism in macro test,” Proceedings of the IEEE International Test Conference, pp. 451-460, 1993.

    Google Scholar 

  10. D. S. Brahme, and J. A. Abraham, “Functional Testing of Microprocessors,” IEEE Transactions on Computers, vol. C-33, pp. 475-485, 1984.

    Google Scholar 

  11. M. A. Breuer, and A. D. Friedman, “Diagnosis and Reliable Design of Digital Systems,” Woodland Hills, California: Computer Science Press, 1976.

    Google Scholar 

  12. T. J. Chakraborty, V. D. Agrawal, and M. L. Bushnell, “Delay Fault Models and Test Generation for Random Logic Sequential Circuits,” Proceedings of the 29th Design Automation Conference, pp. 165-172, 1992.

    Google Scholar 

  13. R. Chandramouli, “On Testing Stuck-Open Faults,” Proceedings of the 13th Annual International Symposium on Fault Tolerant Computing Systems, pp. 258- 265, 1983.

    Google Scholar 

  14. K. T. Cheng, “Transition Fault Simulation for Sequential Circuits,” Proceedings of the IEEE International Test Conference, pp. 723-731, 1992.

    Google Scholar 

  15. K. T. Chang, and H.C. Chen, “Classification and Identification of Nonrobust Untestabel path Delay Faults,” IEEE Transactions on CAD, vol. 15, pp. 845-853, August 1996.

    Google Scholar 

  16. B. F. Cockburn, “Tutorial on Semiconductor Memory Testing,” Journal of Electronic Testing: Theory and Applications, vol. 5, no. 4, pp. 321-336, November 1994.

    Google Scholar 

  17. H. Cox, and J. Rajaski, “Stuck-Open and Transition Fault Testing in CMOS Complex Gates,” Proceedings of the IEEE International Test Conference, pp. 688-694, 1988.

    Google Scholar 

  18. R. Dekker, F. Beenker, and L. Thijssen, “Fault modeling and Test Algorithm Development for Static Random Access Memories,” Proceedings of the IEEE International Test Conference, pp. 343-352, 1988.

    Google Scholar 

  19. C. Di, and J. A. G. Jess, “On Accurate Modeling and Efficient Simulation of CMOS Open Faults,” Proceedings of the IEEE International Test Conference, pp. 875-882, 1993.

    Google Scholar 

  20. E. B. Eichelberger, and T. W. Williams, “A Logic Design Structure for LSI Testability,” Journal of Design Automation and Fault Tolerant Computing, vol. 2, no. 2, pp. 165-178, May 1978.

    Google Scholar 

  21. R. D. Eldred, “Test Routines Based on Symbolic Logical Statements,” Journal of ACM, vol. 6, no.1, pp. 33-36, January 1959.

    Article  MATH  MathSciNet  Google Scholar 

  22. Y. M. El-Ziq, and R. J. Cloutier, “Functional-Level Test Generation for Stuck-Open Faults in CMOS VLSI,” Proceedings of the IEEE International Test Conference, pp. 536-546, 1981.

    Google Scholar 

  23. A. Eto, M. Hidaka, Y. Okuyama, K. Kimura, and M. Hosono, “Impact of neutron flux on soft errors in MOS memories,” IEEE International Electron Devices Meeting, pp. 367-370, 1998.

    Google Scholar 

  24. F. J. Ferguson, and J. P. Shen, “Extraction and Simulation of Realistic CMOS Faults using Inductive Fault Analysis,” Proceedings of the IEEE International Test Conference, pp. 475-484, 1988.

    Google Scholar 

  25. A. V. Ferris-Prabhu, “Introduction to Semiconductor Device Yield Modeling,” Boston: Artech House, 1992.

    Google Scholar 

  26. M. L. Flottes, C. Landrault, and S. Pravossoudovitch, “Fault Modeling and Fault Equivalence in CMOS Technology,” Journal of Electronic Testing: Theory and Applications, vol. 2, no.3, pp. 229-241, August 1991.

    Article  Google Scholar 

  27. S. Funatsu, N. Wakatsuki, and T. Arima, “Test Generation Systems in Japan,” Proceedings of 12th Design Automation Symposium, pp. 114-122, 1975.

    Google Scholar 

  28. J. Galiay, Y. Crouzet, and M. Vergniault, “Physical versus Logical Fault Models in MOS LSI Circuits: Impact on Their Testability,” IEEE Transaction on Computers, vol. C-29, no. 6, pp. 527-531, June 1980.

    Google Scholar 

  29. S. K. Gandhi, “VLSI Fabrication Principles”, John Wiley and Sons, 1983.

    Google Scholar 

  30. D. Gaitonde, and D. H. H. Walker, “Test Quality and Yield Analysis Using the DEFAM Defect to Fault Mapper,” Proceedings of the International Conference on Computer Aided Design, pp. 202-205, 1993.

    Google Scholar 

  31. D. S. Gardner, J. D. Meindel, and K. C. Saraswat, “Interconnection and Electromigration Scaling Theory,” IEEE Transactions on Electron Devices, vol. ED-34, no. 3, pp. 633-643, March 1987.

    Google Scholar 

  32. A. J. van de Goor, “Testing Semiconductor Memories: Theory and Practices,” John Wiley and Sons, 1991.

    Google Scholar 

  33. A. Goundan, and J. P. Hayes, “Identification of Equivalent Faults in Logic Networks,” IEEE Transactions on Computers, vol. c-29, no. 11, pp. 978-985, November 1980.

    MathSciNet  Google Scholar 

  34. R. J. A. Harvey, A. M. D. Richardson, E. M. J. Bruls, and K. Baker, “Analogue Fault Simulation Based on Layout Dependent Fault Models,” Proceedings of IEEE International Test Conference, pp. 641-649, 1994.

    Google Scholar 

  35. J. P. Hayes, “Detection of Pattern-Sensitive Faults in Random Access Memories,” IEEE Transactions on Computers, vol. C-24, no.2, pp. 150-157, February 1975.

    MathSciNet  Google Scholar 

  36. J. P. Hayes, “Fault Modeling for Digital Integrated Circuits,” IEEE Transactions on Computer-Aided Design of Circuits and Systems, CAD-3, pp. 200-207, 1984.

    Article  Google Scholar 

  37. J. P. Hayes, “Fault Modeling,” IEEE Design & Test of Computers, vol. 2, pp. 88-95, April 1985.

    Google Scholar 

  38. K. Holbert, “Single Event Effects” http://www.eas.asu.edu/$∼ $holbert/eee460/see.html, 2005.

    Google Scholar 

  39. T. Hook, L. Wissel, D. Mazgaj, “Estimation of I$DDQ$ for early chip and technology design decisions” Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 627-630, 2003.

    Google Scholar 

  40. O. H. Ibarra, and S. K. Sahni, “Polynomial Complete Fault Detection Problems,” IEEE Transactions on Computers, vol. c-24, no. 3, pp. 242-249, March 1975.

    MathSciNet  Google Scholar 

  41. V. S. Iyenger et al., “On Computing the Sizes of Detected Delay Faults,” IEEE Transactions on CAD, vol. 9, no. 3, 299-312, 1990.

    Google Scholar 

  42. S. K. Jain, and V. D. Agrawal, “Modeling and Test Generation Algorithm for MOS Circuits,” IEEE Transactions on Computers, vol. 34, no. 5, pp. 426-43, May 1985.

    Google Scholar 

  43. A. P. Jayasumana, Y. K. Malaiya, and R. Rajsuman, “Design of CMOS Circuits for Stuck-Open Fault Testability,” IEEE Journal of Solid-State Circuits, vol. 26, no. 1, pp. 58-61, January 1991.

    Article  Google Scholar 

  44. W. Ke, and P. R. Menon, “Synthesis of Delay Verifiable Combinational Circuits,” IEEE Transactions on Computers, vol. 44, pp. 213-222, February 1995.

    Article  MATH  Google Scholar 

  45. S. Koeppe, “Optimum Layout to Avoid CMOS Stuck-Open Fault,” Proceedings of the 24th ACM/IEEE Design Automation Conference, pp. 829-835, 1987.

    Google Scholar 

  46. F. C. M. Kuijstermans, M. Sachdev, and L. Thijssen, “Defect Oriented Test Methodology for Complex Mixed-Signal Circuits,” Proceedings of the European Design and Test Conference, pp. 18-23, 1995.

    Google Scholar 

  47. W. K. Lam, A. Saldanha, R. K. Brayton, and A. L. Sangiovanni-Vincentelli, “Delay Fault Coverage and Performance Trade-offs,” Proceedings of the 30th Design Automation Conference, pp. 446-452, 1993.

    Google Scholar 

  48. K. J. Lee, and M. A. Breuer, “On the Charge Sharing Problem in CMOS Stuck- Open Fault Testing,” Proceedings of the IEEE International Test Conference, pp. 417- 425, 1990.

    Google Scholar 

  49. A. K. Majhi, J. Jacob, L. M. Patnaik and V. D. Agrawal, “On Test Coverage of Path Delay Faults,” Proceedings of the 9th International Conference on VLSI Design, pp. 418-421, 1996.

    Google Scholar 

  50. Y. K. Malaiya, and R. Narayanaswamy, “Modeling and Testing for Timing Faults in Synchronous Sequential Circuits,” IEEE Design and Test of Computers, vol. 1, no. 4, pp. 62-74, November 1984.

    Google Scholar 

  51. W. Maly, F. J. Ferguson, and J. P. Shen, “Systematic Characterization of Physical Defects for Fault Analysis of MOS IC Cells,” Proceedings of the International Test Conference, 390-399, 1984.

    Google Scholar 

  52. W. Maly, A. J. Strojwas, and S. W. Director, “VLSI Yield Prediction and Estimation: A Unified Framework,” IEEE Transactions on Computer Aided Design, vol. CAD- 5, no. 1, pp. 114-130, January 1986.

    Article  Google Scholar 

  53. W. Maly, W. R. Moore, and A. J. Strojwas, “Yield Loss Mechanisms and Defect Tolerance,” SRC-CMU Research Center for Computer Aided Design, Dept. of Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, PA 15213.

    Google Scholar 

  54. W. Mao, R. Gulati, D. K. Goel, and M. D. Ciletti, “QUIETEST: A Quiescent Current Testing Methodology for Detecting Leakage Faults,” Proceedings of the International Conference on CAD, pp. 280-283, 1990.

    Google Scholar 

  55. P. Mazumder, and K. Chakraborty, “Testing and Testable Design of High-Density Random-Access Memories,” Boston: Kluwer Academic Publishers, 1996.

    Google Scholar 

  56. E. J. McCluskey, and F. W. Clegg, “Fault Equivalence in Combinational Logic Networks,” IEEE Transactions on Computers, vol. c-20, no. 11, pp. 1286-1293, November 1971.

    MathSciNet  Google Scholar 

  57. A. Meixner, and W. Maly, “Fault Modeling for the Testing of Mixed Integrated Circuits,” Proceedings of the IEEE International Test Conference, pp. 564-572, 1991.

    Google Scholar 

  58. S. Mourad, and E. J. McCluskey, “Fault Models,” Testing and Diagnosis of VLSI and ULSI, Boston: Kluwer Academic Publishers, pp. 49-68, 1989.

    Google Scholar 

  59. S. Narendra, D. Antoniadis and V. De, “Impact of using Adaptive Body Bias to Compensate die-to-die VT Variation on Within-die VT Variation,” IEEE Int. Symp. on Low Power Electronics and Design, pp. 229-232, 1999.

    Google Scholar 

  60. A. Y. Nikiforov, and I. V. Poljakov, “Test CMOS/SOS RAM for transient radiation upset comparative research and failure analysis,” IEEE Transactions on Nuclear Science, vol. 42 , No. 6 , pp. 2138-2142, 1995.

    Article  Google Scholar 

  61. P. Nigh, and W. Maly, “Test Generation for Current Testing,” IEEE Design and Test of Computers, pp. 26-38, February 1990.

    Google Scholar 

  62. C. A. Papachristou, and N. B. Sahgal, “An Improved Method for Detecting Functional Faults in Semiconductor Random Access Memories,” IEEE Transactions on Computers, vol. C-34, no.2, pp. 110-116, February 1985.

    Google Scholar 

  63. E. S. Park, B. Underwood, T. W. Williams, and M. R. Mercer, “Delay Testing Quality in Timing-Optimized Designs,” Proceedings of the IEEE International Test Conference, pp. 879-905, 1991.

    Google Scholar 

  64. E. S. Park, and M. R. Mercer, “An Efficient Delay Test Generation System for Combinational Logic Circuits,” IEEE Transactions on CAD, vol. 11, pp. 926-938, July 1992.

    Google Scholar 

  65. A. Pierzynska, and S. Pilarski, “Non-Robust versus Robust,” Proceedings of the IEEE International Test Conference, pp. 123-131, 1995.

    Google Scholar 

  66. A. K. Pramanick, and S. M. Reddy, “On the Computation of the Ranges of Detected Delay Fault Sizes,” IEEE International Conference on CAD, pp. 126-129, 1989.

    Google Scholar 

  67. I. Pramanick, and A.K. Pramanick, “Parallel Delay Fault Coverage and Test Quality Evaluation,” Proceedings of the IEEE International Test Conference, pp. 113-122, 1995.

    Google Scholar 

  68. J. F. Poage, “Derivation of Optimum Tests to Detect Faults in Combinational Circuits,” Proceedings of the Symposium on Mathematical Theory of Automata, pp. 483-528, 1963.

    Google Scholar 

  69. B. Prince, “Semiconductor Memories,” John Wiley and Sons, 1991.

    Google Scholar 

  70. J. M. Rabaey, A. Chandrakasan, B. Nikolic, “Digital Integrated Circuits – A Design Perspective,” Printice Hall, ISBN 0-13-090996-3, 2003.

    Google Scholar 

  71. R. Rajsuman, A. P. Jayasumana, and Y. K. Malaiya, “CMOS Stuck-Open Fault Detection Using Single Test Patterns,” Proceedings of the 26th ACM/IEEE Design Automa$¬ $tion Conference, pp. 714-717, 1989.

    Google Scholar 

  72. R. Rajsuman, A. P. Jayasumana, and Y. K. Malaiya, “CMOS Open-Fault Detection in the Presence of Glitches and Timing Skews,” IEEE Journal of Solid-State Circuits, vol. 24, no. 4, pp. 1129-1136, August 1989.

    Article  Google Scholar 

  73. S. M. Reddy, and S. Kundu, “Fault Detection and Design for Testability of CMOS Logic Circuits,” Testing and Diagnosis of VLSI and ULSI, pp. 69-91, 1989.

    Google Scholar 

  74. S. M. Reddy, M. K. Reddy, and J. G. Kuhl, “On Testable Design for CMOS Logic Circuits,” Proceedings of the IEEE International Test Conference, pp. 435-445, 1983.

    Google Scholar 

  75. S. M. Reddy, M. K. Reddy, and V. D. Agrawal, “Robust Test for Stuck-Open Faults in CMOS Combinational Logic Circuits,” Proceedings of the 14th International Symposium on Fault Tolerant Computing, pp. 44-49, 1984.

    Google Scholar 

  76. P. Roche, F. Jacquet, C. Caillat, J. P. Schellkopf, “An alpha immune and ultra low neutron SER high density SRAM,” IEEE Reliability Physics Symposium, pp. 671-672, 2004.

    Google Scholar 

  77. B. K. Roy, “Diagnosis and Fault Equivalence in Combinational Circuits,” IEEE Transactions on Computers, vol. c-23, no. 9, pp. 955-963, September 1974.

    Google Scholar 

  78. M. Sachdev and M. Verstraelen, “Development of a Fault Model and Test Algorithms for Embedded DRAMs,” Proceedings of the IEEE International Test Conference, pp. 815-824, 1993.

    Google Scholar 

  79. M. Sachdev, “Defect Oriented Analog Testing: Strengths and Weaknesses,” Proceedings of the 20th European Solid State Circuits Conference, pp. 224-227, 1994.

    Google Scholar 

  80. M. Sachdev, “A Defect Oriented Testability Methodology for Analog Circuits,” Journal of Electronic Testing: Theory and Applications, vol. 6, pp. 265-276, June 1995.

    Article  Google Scholar 

  81. M. Sachdev, “Reducing the CMOS RAM Test Complexity with I$DDQ$ and Voltage Testing,” Journal of Electronic Testing: Theory and Applications, vol. 6, no. 2, pp. 191-202, April 1995.

    Article  Google Scholar 

  82. K. C. Saraswat, and F. Mohammadi, “Effect of Scaling of Interconnections on the Time Delay of VLSI Circuits,” IEEE Transactions on Electron Devices, vol. ED- 29, no. 4, pp. 645-650, April 1982.

    Google Scholar 

  83. J. Savir, W. H. McAnney, and S. R. Vecchio, “Testing for Coupled Cells in Random Access Memories,” Proceedings of the IEEE International Test Conference, pp. 439- 451, 1989.

    Google Scholar 

  84. D. R. Schertz, and G. Metze, “A New Representation for Faults in Combinational Digital Circuits,” IEEE Transactions on Computers, vol. c-21, no. 8, pp. 858-866, August 1972.

    Article  Google Scholar 

  85. O. Semenov, “Impact of Technology Scaling on Bridging Fault Modeling and Detection in CMOS Circuits,” M.A.Sc. Thesis, University of Waterloo, Waterloo, ON, Canada, 2001.

    Google Scholar 

  86. J. P. Shen, W. Maly, and F. J. Ferguson, “Inductive Fault Analysis of MOS Integrated Circuits,” IEEE Design and Test of Computers, vol. 2, pp. 13-26, December 1985.

    Google Scholar 

  87. H. C. Shih, and J. A. Abraham, “Fault Collapsing Techniques for MOS VLSI Circuits,” Proceedings of the Fault Tolerant Computing Symposium, pp. 370-375, 1986.

    Google Scholar 

  88. M. Sivaraman, and A. J. Strojwas, “Test Vector Generation for Parametric Path Delay Faults,” Proceedings of the IEEE International Test Conference, pp. 132-138, 1995.

    Google Scholar 

  89. G. L. Smith, “Model for Delay Faults Based upon Paths,” Proceedings of the IEEE International Test Conference, pp. 342-349, 1985.

    Google Scholar 

  90. J. E. Smith, “Detection of Faults in Programmable Logic Arrays,” IEEE Transactions on Computers, vol. C-28, pp. 845-853, 1979.

    Google Scholar 

  91. J. M. Soden, C. F. Hawkins, R. K. Gulati, and W. Mao, “I$DDQ$ Testing: A Review,” Journal of Electronic Testing: Theory and Applications, vol. 3, pp. 291-303, November 1992.

    Article  Google Scholar 

  92. M. Soma, “An Experimental Approach to Analog Fault Models,” Proceedings of the Custom Integrated Circuits Conference, pp. 13.6.1-13.6.4, 1991.

    Google Scholar 

  93. M. Soma, “A Design for Test Methodology for Active Analog Filters,” Proceedings of the IEEE International Test Conference, pp. 183-192, 1990.

    Google Scholar 

  94. M. Soma, “Fault Modeling and Test Generation for Sample and Hold Circuit,” Proceedings of the International Symposium on Circuits and Systems, pp. 2072- 2075, 1991.

    Google Scholar 

  95. D. S. Suk and S. M. Reddy, “A March Test for Functional Faults in Semiconductor Random Access Memories,” IEEE Transactions on Computers, vol. C-30, no.12, pp. 982-985, Dec. 1981.

    Google Scholar 

  96. S. M. Sze, “VLSI Technology,” New York: McGraw Hill Book Company, 1983.

    Google Scholar 

  97. S. M. Thatte, and J. A. Abraham, “Testing of Semiconductor Random Access Memories,” Proceedings of International Conference on Fault Tolerant Computing, pp. 81-87, 1977.

    Google Scholar 

  98. S. M. Thatte, and J. A. Abraham, “Test Generation for Microprocessors,” IEEE Transactions on Computers, vol. C-29, pp. 429-441, 1980.

    MathSciNet  Google Scholar 

  99. K. To, “Fault Folding for Irredundant and Redundant Combinational Circuits,” IEEE Transactions on Computers, vol. C-22, no. 11, pp. 1008-1015, November 1973.

    MathSciNet  Google Scholar 

  100. B. Underwood, W. O. Law, S. Kang, and H. Konuk, “Fastpath: A Path-delay Test Generator for Standard Scan Designs,” Proceedings of the IEEE International Test Conference, pp. 154-163, 1994.

    Google Scholar 

  101. P. Varma, “On Path Delay testing in a Standard Scan Environment,” Proceedings of the IEEE International Test Conference, pp. 164-173, 1993.

    Google Scholar 

  102. H. T. Vierhaus, W. Meyer, and U. Glaser, “CMOS Bridges and Resistive Faults: I$DDQ$ versus Delay Effects,” Proceedings of the IEEE International Test Conference, pp. 83-91, 1993.

    Google Scholar 

  103. R. L. Wadsack, “Fault Modeling and Logic Simulation of CMOS and MOS Integrated Circuits,” Bell Systems Technical Journal, vol. 57, no.5, pp. 1449-1474, May-June 1978.

    Google Scholar 

  104. S. H. Walker, and S. W. Director, “VLASIC: A Catastrophic Fault Yield Simulator Integrated Circuits,” IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. CAD-5, pp. 541-556, October 1986.

    Google Scholar 

  105. H. Walker, “VLASIC System User Manual Release 1.3,” SRC-CMU Research Center for Computer Aided Design, Dept. of Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, PA 15213.

    Google Scholar 

  106. T. W. Williams, and K. P. Parker, “Design for Testability – A Survey,” Proceedings of the IEEE, vol. 71, no. 1, pp. 98-113, January 1983.

    Article  Google Scholar 

  107. B. W. Woodhall, B. D. Newman and A. G. Sammuli, “Empirical Results on Undetected CMOS Stuck-Open Failures,” Proceedings of the IEEE International Test Conference, pp. 166-170, 1987.

    Google Scholar 

  108. Y. Z. Xu, H. Puchner, A. Chatila, O. Pohland, B. Bruggeman, B. Jin, D. Radaelli, and S. Daniel, “Process impact on SRAM alpha-particle SEU performance,” IEEE Reliability Physics Symposium, pp. 294-299, 2004.

    Google Scholar 

  109. R. Yung, S. Rusu, K. Shoemaker, “Future Trend of Microprocessor Design: Challenges and Realities,” Invited presentation, ESSCIRC 2002.

    Google Scholar 

  110. J. F. Ziegler, and H. Puchner, “SER – Histoty, Trends and Challenges. A guide for designing with memory ICs,” Cypress Semiconductor Corp., 2004.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer

About this chapter

Cite this chapter

Sachdev, M., Gyvez, J.P.d. (2007). Digital CMOS Fault Modeling. In: Sachdev, M., Gyvez, J.P.d. (eds) Defect-Oriented Testing for Nano-Metric CMOS VLSI Circuits. Frontiers in Electronic Testing, vol 34. Springer, Boston, MA. https://doi.org/10.1007/0-387-46547-2_3

Download citation

  • DOI: https://doi.org/10.1007/0-387-46547-2_3

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-46546-3

  • Online ISBN: 978-0-387-46547-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics