Skip to main content
  • 808 Accesses

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. C. Babbage, “On the mathematical powers of the calculating engine,” unpublished manuscript, December 1837, Oxford, Buxton Ms7, Museum of History of Science. Printed in “The Origins of Digital Computers: Selected Papers”, B. Randell (ed.), Springer, 1974, pp. 17–52

    Google Scholar 

  2. A. Avizionis, J.C. Laprie, “Dependable Computing: from concepts to design diversity,” Proceedings of the IEEE, Vol. 74, No. 5, May 1986, pp. 629–638

    Article  Google Scholar 

  3. A. Avizienis, “The N-Version approach to fault-tolerant software,” IEEE Transactions on Software Engineering, Vol. 11, No. 12, December 1985, pp. 1491–1501

    Google Scholar 

  4. B. Randell, “System Structure for Software Fault Tolerance,” IEEE Trans. on Software Engineering, Vol. 1, No. 2, June 1975, pp.220–232

    Google Scholar 

  5. D. Pradhan, “Fault-tolerant Computer System Design”, Prentice Hall, 1996

    Google Scholar 

  6. J. P. Kelly, T. I. McVittie, W.I. Yamamoto, “Implementing design diversity to achieve fault tolerance”, IEEE Software, Vol. 8, no. 4, July 1991, pp. 61–71

    Article  Google Scholar 

  7. J. H. Lala, L.S. Alger, “Hardware and Software Fault Tolerance: a unified Architectural Approach”, Proceedings of the 18-th International Symposium on Fault-Tolerant Computing, FTCS-18, 1988, pp. 240–245

    Google Scholar 

  8. C. E. Price, “Fault tolerant avionics for the Space Shuttle” Proceedings of the 10-th IEEE/AIAA Digital Avionics Systems Conference, 1991, pp. 203–206

    Google Scholar 

  9. D. Briere, P. Traverse, “AIRBUS A320/A330/A340 Electrical Flight Controls: A Family of Fault-Tolerant Systems”, Proceedings of the 23-rd International Symposium on Fault-Tolerant Computing, FTCS-23, 1993, pp. 616–623

    Google Scholar 

  10. R. Riter, “Modeling and testing a critical fault-tolerant multi-process system”, Proceedings of the 25-th International Symposium on Fault-Tolerant Computing, FTCS-25, 1995, pp. 516–521

    Google Scholar 

  11. G. Hagelin, “ERICSSON safety system for railway control”, Proceedings of the Workshop on Design Diversity in Action, Springer Verlag, 1988, pp 11–21

    Google Scholar 

  12. H. Kanzt, C. Koza, “The ELEKTRA railway signalling system: field experience with an actively replicated system with diversity”, Proceedings of the 25-th International Symposium on Fault-Tolerant Computing, FTCS-25, 1995, pp. 453–458

    Google Scholar 

  13. A. Amendola, L. Impagliazzo, P. Marmo, G. Mongardi, G. Sartore, “Architecture and safety requirements of the ACC railway interlocking system”, Proceedings of IEEE International Computer Performance and Dependability Symposium, 1996, pp. 21–29

    Google Scholar 

  14. B. Fechner, J. Keller, P. Sobe, “Performance estimation of virtual duplex systems on simultaneous multithreaded processors”, 18-th International Parallel and Distributed Processing Symposium, 2004, pp. 214–217

    Google Scholar 

  15. K. Echtle, B. Hinz, T. Nikolov, “On Hardware Fault Detection by Diverse Software”, Proceedings of the 13-th International Conference on Fault-Tolerant Systems and Diagnostics,” 1990, pp. 362–367

    Google Scholar 

  16. T. Anderson, P.A. Lee, Fault Tolerance: Principles and Practice, Prentice Hall, 1981

    Google Scholar 

  17. A.M. Tyrrell, Recovery blocks and algorithm-based fault tolerance, EUROMICRO 96. ‘Beyond 2000: Hardware and Software Design Strategies’, Proceedings of the 22nd EuroMicro Conference, 1996, pp. 292–299

    Google Scholar 

  18. K.H. Kim, H.O. Welch, “Distributed Execution of Recovery Blocks: an approach to uniform treatment of Hardware and Software Faults in Real-Time Applications”, IEEE Transactions on Computers, May 1989, pp. 626–636

    Google Scholar 

  19. K.H. Kim, L. Bacellar, C. Subbaraman, “Primary-shadow consistency issues in the DRB scheme and the recovery time bound”, Proceedings of the 7-th International Symposium on Software Reliability Engineering, 1996, pp. 319–329

    Google Scholar 

  20. K.H. Kim, A. Kavianpour, “A distributed recovery block approach to fault-tolerant execution of application tasks in hypercubes”, IEEE Transactions on Parallel and Distributed Systems, Vol. 4, No. 1, Jan. 1993, pp. 104–111

    Article  Google Scholar 

  21. M. Hecht, J. Agron, H. Hecht, K.H. Kim, “A distributed fault tolerant architecture for nuclear reactor and other critical process control applications”, Proceedings of the 21-st International Symposium on Fault-Tolerant Computing, 1991, FTCS-21, pp. 462–498

    Google Scholar 

  22. K.M. Chandy, C.V. Ramamoorthy, “Rollback and recovery strategies for computer programs,” IEEE Transactions on Computers, Vol. 21, No. 6, June 1972, pp. 546–556

    Article  MATH  MathSciNet  Google Scholar 

  23. W.K, Fuchs, C.-C. J. Li, “CATCH-compiler-assisted techniques for checkpointing,” Proceedings of the 20-thInternational Symposium on Fault-Tolerant Computing, FTCS-20, 1990, pp. 74–81

    Google Scholar 

  24. J. Long, W.K, Fuchs, J.A. Abraham, “Compiler-Assisted Static Checkpoint insertion,” 22-nd International Symposium on Fault-Tolerant Computing, (FTCS-22), 1992, pp. 58–65

    Google Scholar 

  25. D. K. Pradhan, N. H. Vaidya, “Roll-Forward Checkpointing Scheme: A Novel Fault-Tolerant Architecture,” IEEE Transactions on Computers, Vol. 43, No. 10, October 1994, pp. 1163–1174

    Article  MATH  Google Scholar 

  26. A. Ziv, J. Bruck, “Performance Optimization of Checkpointing Scheme with Task Duplication,” IEEE Transactions on Computers, Vol. 46, No. 12, December 1997, pp. 1381–1386

    Article  MathSciNet  Google Scholar 

  27. K. H. Huang, J. A. Abraham, “Algorithm-Based Fault Tolerance for Matrix Operations”, IEEE Transactions on Computers, vol. C-33, No. 6, June 1984, pp. 518–528

    Google Scholar 

  28. A. Roy-Chowdhury, P. Banerjee, “Tolerance Determination for Algorithm Based Checks using Simplified Error Analysis”, Proc. IEEE International Fault Tolerant Computing Symposium, 1993

    Google Scholar 

  29. J.-Y. Jou, J.A. Abraham, “Fault-Tolerant FFT Networks”, IEEE Transactions on Computers, Vol. 37, No. 5, May 1988, pp. 548–561

    Article  Google Scholar 

  30. S.-J-Wang, N.K. Jha, “Algorithm-Based Fault Tolerance for FFT Networks”, IEEE Transactions on Computers, Vol. 43, No. 7, July 1994, pp. 849–854

    Article  MATH  Google Scholar 

  31. A. Mishra, P. Banerjee, “An Algorithm-Based Error Detection Scheme for the Multigrid Method”, IEEE Transactions on Computers, Vol. 52, No. 9, September 2003, pp.1089–1099

    Article  Google Scholar 

  32. M. Rebaudengo, M. Sonza Reorda, M. Violante, “A New Software-based technique for low-cost Fault-Tolerant application”, IEEE Annual Reliability and Maintainability Symposium, 2003, pp. 25–28

    Google Scholar 

  33. M. Rebaudengo, M. Sonza Reorda, M. Violante, “A new approach to software-implemented fault tolerance”, JETTA: The Journal of Electronic Testing: Theory and Applications, Kluwer Academic Publishers, N. 20, August 2004, pp. 433–437.

    Article  Google Scholar 

  34. P. Cheynet, B. Nicolescu, R. Velazco, M. Rebaudengo, M. Sonza Reorda, M. Violante, “Experimentally evaluating an automatic approach for generating safety-critical software with respect to transient errors”, IEEE Transactions on Nuclear Science, Vol. 47, No. 6, December 2000, pp. 2231–2236

    Article  Google Scholar 

  35. O. Goloubeva, M. Rebaudengo, M. Sonza Reorda, M. Violante, “Soft-error Detection Using Control Flow Assertions”, DFT2003: IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2003, pp. 581–588

    Google Scholar 

  36. M. Rebaudengo, M. Sonza Reorda, M. Torchiano, M. Violante, “A source-to-source compiler for generating dependable software”, Proceedings of the IEEE International Workshop on Source Code Analysis and Manipulation (SCAM), 2001, pp. 33–42

    Google Scholar 

  37. P. Civera, L. Macchiarulo, M. Rebaudengo, M. Sonza Reorda, M. Violante, “An FPGA-based approach for speeding-up Fault Injection campaigns on safety-critical circuits”, Journal of Electronic Testing: Theory and Applications (JETTA), Kluwer Academic Publishers, Vol. 18, No. 3, June 2002, pp. 261–271

    Article  Google Scholar 

  38. O. Goloubeva, M. Rebaudengo, M. Sonza Reorda, M. Violante, “Software Techniques for Dependable Computer-based Systems”, chapter in Space radiation environment and its effects on spacecraft components and systems, Cépaduès éd., Toulouse (France), ISBN 2-85428-654-5, 2004

    Google Scholar 

  39. M. Rebaudengo, M. Sonza Reorda, M. Torchiano, M. Violante, “An experimental evaluation of the effectiveness of automatic rule-based transformations for safety-critical applications”, DFT’00, IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, October 2000, pp. 257–265

    Google Scholar 

  40. M. Turmon, R. Granat, D.S. Katz, J.Z. Lou, “Tests and Tolerances for High-Performance Software-Implemented Fault Detection”, IEEE Transactions on Computers, Vol. 52, No. 5, May 2003, pp. 579–591

    Article  Google Scholar 

  41. B. Nieolescu, R. Velazco, M. Sonza Reorda, “Effectiveness and Limitations of Various Software Techniques for ”Soft Error“ Detection: a comparative study”, Proceedings of the IEEE 7-th International On-Linc Testing Workshop, 2001, pp. 172–177

    Google Scholar 

Download references

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

(2006). Achieving Fault Tolerance. In: Software-Implemented Hardware Fault Tolerance. Springer, Boston, MA . https://doi.org/10.1007/0-387-32937-4_4

Download citation

  • DOI: https://doi.org/10.1007/0-387-32937-4_4

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-26060-0

  • Online ISBN: 978-0-387-32937-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics