Skip to main content
  • 782 Accesses

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

4. References

  1. M. Rebaudengo, M. Sonza Reorda, M. Torchiano, M. Violantc, “Soft-error Detection through Software Fault-Tolerance techniques”, Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 1999, pp. 210–218

    Google Scholar 

  2. M. Rebaudengo, M. Sonza Reorda, M. Torchiano, M. Violantc, “A sourcc-to-source compiler for generating dependable software”, IEEE International Workshop on Source Code Analysis and Manipulation, 2001, pp. 33–42.

    Google Scholar 

  3. P. Cheynet, B. Nicolescu, R. Vclazco, M. Rebaudengo, M. Sonza Reorda, M. Violante, “Experimentally evaluating an automatic approach for generating safety-critical software with respect to transient errors”, IEEE Transactions on Nuclear Science, Vol. 47, No. 6, December 2000, pp. 2231–2236

    Article  Google Scholar 

  4. M. Rebaudengo, M. Sonza Reorda, M. Torchiano, M. Violante, “An experimental evaluation of the effectiveness of automatic rule-based transformations for safety-critical applications”, IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2000, pp. 257–265

    Google Scholar 

  5. A. Bcnso, S. Chiusano, P. Prinetto, L. Tagliaferri, “A C/C++ source-to-sourcc compiler for dependable applications”, IEEE International Conference on Dependable Systems and Networks (DSN), 2000, pp. 71–78.

    Google Scholar 

  6. N. Oh, P.P. Shirvani, E.J. McCluskey, “Error Detection by Duplicated Instructions In Super-scalar Processors”, IEEE Transactions on Reliability, Vol. 51, No. 1, March 2002, pp. 63–75

    Article  Google Scholar 

  7. G. Sohi, M. Franklin, K. Saluja, “A study of time-redundant fault tolerance techniques for high-performance pipelined computers”, 19-th International Fault Tolerant Computing Symposium, 1989, pp. 463–443

    Google Scholar 

  8. Bolchini, C, “A software methodology for detecting hardware faults in VLIW data paths”, IEEE Transactions on Reliability, Vol. 52, No. 4, Dec. 2003, pp. 458–468

    Article  Google Scholar 

  9. J.-S. Lu, F. Li, V. Degalahal, M. Kandcmir, N. Vijaykrishnan, M.J. Irwin, “Compiler-directed instruction duplication for soft error detection”, Proceedings of Design, Automation and Test in Europe, 2005, pp. 1056–1057

    Google Scholar 

  10. N. Oh, E. J. McCluskey, “Error Detection by Selective Procedure Call Duplication for Low Energy Consumption”, IEEE Transactions on Reliability, Vol. 51, No. 4, December 2002, pp. 392–402

    Article  Google Scholar 

  11. K. Echtlc, B. Hinz, T. Nikolov, “On Hardware Fault Detection by Diverse Software, Proceedings of the 13-th International Conference on Fault-Tolerant Systems and Diagnostics,” 1990, pp. 362–367

    Google Scholar 

  12. H. Engel, “Data flow transformations to detect results which arc corrupted by hardware faults”, Proceedings of IEEE High-Assurance Systems Engineering Workshop, 1996, pp. 279–285

    Google Scholar 

  13. M. Jochim, “Detecting processor hardware faults by means of automatically generated virtual duplex systems”, Proceedings of the International Conference on Dependable Systems and Networks, 2002, pp. 399–408

    Google Scholar 

  14. S. K. Reinhardt, S.S. Mukherjee, “Transient Fault Detection via Simultaneous Multithreading,” Proceedings of the 27th International Symposium on Computer Architecture, 2000, pp. 25–36

    Google Scholar 

  15. E. Rotenberg, “AR-SMT: a microarchitcctural approach to fault tolerance in microprocessors”, 29-th International Symposium on Fault-Tolerant Computing, 1999, pp. 84–91

    Google Scholar 

  16. H. Engel, “Data Flow Transformations to Detect Results which are corrupted by hardware faults”, Proceedings of the IEEE High-Assurance System Engineering Workshop, 1997, pp. 279–285

    Google Scholar 

  17. N. Oh, S. Mitra, E. J. McCIuskey, “ED4I: Error detection by diverse data and duplicated instructions”, IEEE Transactions on Computers, Vol. 51, No. 2, February 2002, pp. 180–199

    Article  Google Scholar 

  18. M. Hiller, “Executable assertions for detecting data errors in embedded control systems”, Proceedings International Conference on Dependable Systems and Networks, 2000, pp. 24–33

    Google Scholar 

  19. J. Vinter, J. Aidemark, P. Folkesson, J. Karlsson, “Reducing Critical Failures for Control Algorithms Using Executable Assertions and Best Effort Recovery”, Proceedings of the International Conference on Dependable Systems and Networks, 2001, pp. 347–356

    Google Scholar 

Download references

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

(2006). Hardening the Data. In: Software-Implemented Hardware Fault Tolerance. Springer, Boston, MA . https://doi.org/10.1007/0-387-32937-4_2

Download citation

  • DOI: https://doi.org/10.1007/0-387-32937-4_2

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-26060-0

  • Online ISBN: 978-0-387-32937-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics