Skip to main content

Core Architectures for Digital Media and the Associated Compilation Techniques

  • Chapter
Design of Systems on a Chip: Design and Test
  • 1413 Accesses

Abstract

The new generation of multimedia systems will be fully digital. This includes real time digital TV transmission via cable, satellite and terrestrial channels as well as digital audio broadcasting. A number of standards have been developed such as those of the ‘‘Moving Picture Experts Group’’ (MPEG). Those are defined for the source coding of video signals. Various channel coding standards for audio and video transmission based on spread spectrum technology have been established by the ‘‘European Television Standards Institute’’ (ETSI). While the video receivers will come to the market in the form of set top boxes feeding standard PAL-, SECAM- or NTSC-receivers with the appropriate analog signals, a new generation of mobile TV- and radio receivers on the basis of mobile phones and PDA’s is also conceived. One of the central questions regarding the implementation of those products is the balance between flexibility and speed performance of the various hardware-platforms. The competition is between standard processors like the new generations of the Pentium (as ‘‘superscalar’’ representatives), possibly enhanced with add-ons like MMX, or, alternatively, the TRIMEDIA, (a VLIW representative). Somewhere on the scale resided the ‘‘Digital Signal Processors’’ like the TSM 320. But also special purpose architectures like the PROPHID architecture have been developed for applications like multi-channel real time video or real time three dimensional graphics for ‘‘virtual reality’’. Late in the nineties the PROPHID architecture has been cast into an experimental prototype called ‘‘CPA’’ (Coprocessor Array). All those architectures need special software techniques to actually exploit their potential in terms of operation speed. The paper reviews some of the relevant features of the signal streams and the sort of processes that have to be executed on them. Then it discusses some hardware architectures that compete as media-processors. Eventually the question of software design for such architectures is addressed culminating in the description of some recently discovered scheduling techniques to be used in compilers/code generators for those processors

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  • Berkelaar, M., van Ginneken, L., (1995) Efficient Orthonormality Testing for Synthesis with Pass-Transistor Selectors, Proceedings of the IEEE International Conference on CAD for Circuits and Systems (ICCAD), San Jose, pp. 256-263.

    Google Scholar 

  • Dewilde, P., Deprettere. E., Nouta, R., (1985) Parallel and Pipelined VLSI Implementations of Signal Processing Algorithms, in S.Y. Kung, H.J. Whitehouse and T. Kailath, ‘‘VLSI and Modern Signal Processing’’, Prentice Hall, pp. 258-264.

    Google Scholar 

  • Dulmage, A.L., Mendelsohn, N.S., (1963) Two Algorithms for Bipartite Graphs, Jour. Soc. Indust. Appl. Math., Vol. 11, No. 1. pp. 183-194.

    Article  MATH  MathSciNet  Google Scholar 

  • Van Eijndhoven, J.T.J., Stok, L., (1992) A Data Flow Graph Exchange Standard, Proceedings of the European Design Automation Conference (EDAC), Brussels, pp. 193-199.

    Google Scholar 

  • Eijk, van C.A.J., Mesman, B., Alba Pinto, C.A., Zhao, Q., Bekooij, M., van Meerbergen, J.L., Jess, J.A.G., (2000) Constraint Analysis for Code Generation: Basic Techniques and Applications in FACTS, ACM Transactions on Design Automation of Electronic Systems, Vol. 5, Nr. 4, pp. 774-793.

    Article  Google Scholar 

  • Fisher, J.A., (1981) Trace Scheduling: A Technique for Global Microcode Compaction, IEEE Transactions on Computers, Vol. C-30, No. 7, pp. 478-490.

    Google Scholar 

  • Heijligers, M.J.M., Hilderink, H.A., Timmer, A.H., Jess, J.A.G., (1994) NEAT, an Object Oriented High-Level Synthesis Interface, Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), London, pp. 1233-1236.

    Google Scholar 

  • Heijligers, M.J.M., Cluitmans, L.J.M. Jess, J.A.G., (1995) High Level Synthesis Scheduling and Allocation Using Genetic Algorithms, Proceedings of the Asia and South Pacific Design Automation Conference, pp. 61-66.

    Google Scholar 

  • Hopcroft, J.E., Karp, R.M. (1973) An n5/2 Algorithm for Maximum Matching in Bipartite Graphs, SIAM Journal of Comp., Vol. 2, No. 4, pp. 225-231.

    Article  MATH  MathSciNet  Google Scholar 

  • Van den Hurk, J. (1996) Hardware/Software Codesign: an Industrial Approach, Ph.D. Thesis, Eindhoven University of Technology, Eindhoven, The Netherlands.

    Google Scholar 

  • International Organization for Standardization, (1993) Generic Coding of Moving Pictures and Associated Audio Information – Part 2, ISO/IECJTC1/SC29 N 659.

    Google Scholar 

  • International Organization for Standardization, (1994) Generic Coding of Moving Pictures and Associated Audio: AUDIO, ISO/IEC 13818-3. ISO/IECJTC1/SC29/WG11 N0803, 11 November 1994.

    Google Scholar 

  • International Organization for Standardization, (1994) Generic Coding of Moving Pictures and Associated Audio: SYSTEMS, Recommendation ITU-T H.222.0 ISO/IEC 13818-1. ISO/IECJTC1/SC29/WG11 N0801, 13 November 1994.

    Google Scholar 

  • International Organization for Standardization, (1994) Generic Coding of Moving Pictures and Associated Audio: VIDEO, Recommendation ITU-T H.262 ISO/IEC 13818-2. ISO/IECJTC1/ SC29/WG11 N0982, 20 January 1995.

    Google Scholar 

  • The International Technology Roadmap for Semiconductors, http://public.itrs.net

    Google Scholar 

  • Janssen, G.L.J.M., (1995) Application of BDD’s in Formal Verification, Proceedings of the 22nd International School and Conference, Yalta (Gurzuf), Ucraine, pp. 49-53.

    Google Scholar 

  • Kleihorst, R.P., van der Werf, A., Brulls, W.H.A., Verhaegh, W.F.J., Waterlander, E., (1997) MPEG2 Video Encoding in Consumer Electronics, Journal of VLSI Signal Processing, Vol. 17, pp. 241-253.

    Article  Google Scholar 

  • LeGall, D., (1991) MPEG: A Video Compression Standard for Multimedia Applications, Communications of the ACM, Vol. 34, No. 4, pp. 46-58.

    Article  MathSciNet  Google Scholar 

  • Lippens, P.E.R., De Loore, B.J.S., de Haan, G., Eeckhout, P., Huijgen, H., Lovning, A., McSweeney, B.T., Verstraelen, M.J.W., Pham, B., Kettenis, J., (1996) A Video Signal Processor for Motion-Compensated Field-Rate Upconversion in Consumer Television, IEEE Journal of Solid State Circuits, Vol. 31, pp. 1762-1769.

    Article  Google Scholar 

  • Leijten, J.A.J., van Meerbergen, J.L., Timmer, A.H., Jess, J.A.G., (1997) PROPHID: a Data Driven Multi-Processor Architecture for High-Performance DSP, Proceedings of the European Design and Test Conference (ED&TC), Paris, p. 611.

    Google Scholar 

  • De Man, H., Catthoor, F., Goossens, G., Vanhoof, J., van Meerbergen, J.L., Huisken, J, (1990) Architecture Driven Synthesis Techniques for VLSI Implementation of DSP Algorithms, Proceedings of the IEEE, pp. 319-335.

    Google Scholar 

  • Van Meerbergen, J.L., Lippens, P.E.R., Verhaegh, W.F.J., van der Werf, A., (1995) PHIDEO: High Level Synthesis for High-Throughput Applications, Journal of VLSI Signal Processing, Vol. 9, pp. 89-104.

    Article  Google Scholar 

  • Mesman, B., Strik, M.T.J., Timmer, A.H., van Meerbergen, J.L., Jess, J.A.G. (1997) Constraint Analysis for DSP Code Generation, Proceedings of the ACM/IEEE International Symposium on Systems Synthesis (ISSS), Antwerp, pp. 33-40.

    Google Scholar 

  • Mesman, B., Strik, M.T.J., Timmer, A.H., van Meerbergen, J.L., Jess, J.A.G. (1998) A Constraint Driven Approach to Loop Pipelining and Register Binding, Proc. Conference on Design, Automation and Test in Europe, DATE, Paris, France, 23-26 February 1998, ISBN 0-8186-8361-7, ed. A. Kunzmann; IEEE Computer Society, Los Alamitos, CA, 1998, pp. 377-383.

    Google Scholar 

  • Mesman, B., Constraint Analysis for DSP Code Generation, Ph.D. Dissertation, Eindhoven University of Technology, May 2001.

    Google Scholar 

  • Nicolau, A., (1985), Uniform Parallelism Exploitation in Ordinary Programs, Proceedings of the International Conference on Parallel Processing, pp. 614-618.

    Google Scholar 

  • Radivojevic, I., Brewer, F., (1996) A New Symbolic Technique for Control-Dependent Scheduling, IEEE Transactions on CAD for Circuits and Systems, Vol. 15, No. 1, pp. 45-57.

    Google Scholar 

  • Sangiovanni-Vincentelli, A., (1976) A Note on Bipartite Graphs and Pivot Selection in Sparse Matrices, IEEE Transactions on Circuits and Systems, Vol. CAS 23, No.12, pp. 817-821.

    Article  MATH  MathSciNet  Google Scholar 

  • Villar dos Santos, L.C., Heijligers, M.J.M., van Eijk, C.A.J., van Eijndhoven, J.T.J., Jess, J.A.G., (1996) A Constructive Method for Exploiting Code Motion, Proceedings of the ACM/IEEE International Symposium on Systems Synthesis (ISSS), San Diego, pp. 51-56.

    Google Scholar 

  • Slavenburg, G.A., Rathnam, S., Dijkstra, H., (1996) The Trimedia TM-1 PCI VLIW Media Processor, Hot Chips 8 Symposium, Stanford University, August 18-20, 1996, Stanford, California, http://infopad.EECS.Berkeley.EDU/HotChips8/6.1/

    Google Scholar 

  • Strik, M.T.J., van Meerbergen, J.L., Timmer, A.H., Jess, J.A.G., Note, S., (1995) Efficient Code Generation for In-House DSP-Cores, Proceedings of the European Design and Test Conference (ED&TC), Paris, pp. 244-249.

    Google Scholar 

  • Timmer, A.H., Jess, J.A.G., (1993) Execution Interval Analysis under Resource Constraints, Proceedings of the IEEE International Conference on CAD for Circuits and Systems (ICCAD), Santa Clara, pp. 454-459.

    Google Scholar 

  • Timmer, A.H., Strik, M.T.J., van Meerbergen, J.L., Jess, J.A.G. (1995) Conflict Modeling and Instruction Scheduling in Code Generation for In-House DSP Cores, Proceedings of the 32nd Design Automation Conference (DAC), pp. 593-598.

    Google Scholar 

  • Timmer, A.H., Jess, J.A.G., (1995) Exact Scheduling Strategies Based on Bipartite Graph Matching, Proceedings of the European Design and Test Conference (ED&TC), Paris, pp. 42-47.

    Google Scholar 

  • Van der Werf, A., Brulls, W.H.A., Kleihorst, R.P., Waterlander, E., Verstraelen, M.J.W., Friedrich, T., (1997) I.McIC: A single Chip MPEG2 Video Encoder for Storage, Proceedings of the International Solid State Circuits Conference (ISSCC), pp. 254-255.

    Google Scholar 

  • Weiser, U., Trade-off Considerations and Performance of Intel’s MMX Technology, (1996) Hot Chips 8 Symposium, Stanford University, August 18-20, 1996, Stanford, California, http://infopad.EECS.Berkeley.EDU/HotChips8/5.1/

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer

About this chapter

Cite this chapter

Jess, J.A. (2006). Core Architectures for Digital Media and the Associated Compilation Techniques. In: Reis, R., Lubaszewski, M., Jess, J.A. (eds) Design of Systems on a Chip: Design and Test. Springer, Boston, MA. https://doi.org/10.1007/0-387-32500-X_3

Download citation

  • DOI: https://doi.org/10.1007/0-387-32500-X_3

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-32499-9

  • Online ISBN: 978-0-387-32500-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics