Skip to main content

Introduction to Reconfigurable Hardware

  • Chapter

Abstract

This chapter introduces the reader to main concepts of reconfigurable computing and reconfigurable hardware. Different types of reconfiguration are discussed. A detailed classification of reconfigurable architectures with respect to the granularity of their building blocks, the reconfiguration scheme and the system level coupling is also presented.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Barat F, Lauwereins R (2000) Reconfigurable Instruction Set Processors: A Survey. In: Proceedings of IEEE international Workshop on Rapid System Prototyping, pp 168–173

    Google Scholar 

  2. Brodersen B (2002) Wireless Systems-on-a-Chip Design. In: Proceedings of 3rd International Symposium on Quality of Electronic Design, pp 221–222

    Google Scholar 

  3. DeHon A (1996) DPGA Utilization and Application. In: Proceedings of ACM/SIGDA International Symposium on FPGAs, pp 115–121

    Google Scholar 

  4. Ebeling C, Cronquist DC, Franklin P (1996) RaPiD Reconfigurable Pipelined Datapath. In: Lecture Notes in Computer Science 1142 — Field Programmable Logic: Smart Applications, New Paradigms and Compilers, Springer Verlag, pp 126–135

    Google Scholar 

  5. Hauck S, Fry TW, Hosler MM, Kao JP (1997) The Chimaera Reconfigurable Functional Unit. In: Proceedings of the 5th IEEE Symposium on Field Programmable Custom Computing Machines, pp 87–96

    Google Scholar 

  6. Hauser JR, Wawrzynek J (1997) Garp: A MIPS Processor with a Reconfigurable Coprocessor. In: Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines, pp 12–21

    Google Scholar 

  7. Haynes SD, Cheung PYK (1998) A reconfigurable multiplier array for video image processing tasks, suitable for embedding in an FPGA structure. In: Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines, pp 226–235

    Google Scholar 

  8. Hutchings BL, Wirthlin MJ (1995) Implementation approaches for reconfigurable logic applications. Brigham Young University, Dept. of Electrical and Computer Engineering

    Google Scholar 

  9. Khatib J (2001) Configurable Computing. Available at: http://www.geocities.com/siliconvalley/pines/6639/fpga

    Google Scholar 

  10. Lucent Technologies Inc (1998) FPGA Data Book, Allentown, Pennsylvania

    Google Scholar 

  11. Marshall A, Stansfield T, Kostarnov I, Vuillemin J, Hutchings B (1999) A Reconfigurable Arithmetic Array for Multimedia Applications. In: Proceedings of ACM/SIGDA International Symposium on FPGAs, pp 135–143

    Google Scholar 

  12. Miyamori T, Olukotun K (1998) A quantitative analysis of reconfigurable coprocessors for multimedia applications. In: Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines, pp 2–11

    Google Scholar 

  13. Moritz CA, Yeung D, Agarwal A (1998) Exploring optimal cost performance designs for raw microprocessors. In: Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines, pp 12–27

    Google Scholar 

  14. Razdan R, Brace K, Smith MD (1994) PRISC Software Acceleration Techniques. In: Proceedings of the IEEE International Conference on Computer Design, pp 145–149

    Google Scholar 

  15. Trimberger S, Carberry D, Johnson A, Wong J (1997) A Time-Multiplexed FPGA. In: Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines, pp 22–29

    Google Scholar 

  16. Witting RD, Chow P (1996) OneChip: An FPGA Processor with Reconfigurable Logic. In: Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, pp 126–135

    Google Scholar 

  17. Xilinx Inc. (1994) The Programmable Logic Data Book

    Google Scholar 

  18. Xilinx Inc. (1996) XC6200: Advanced product specification v1.0. In: The Programmable Logic Data Book

    Google Scholar 

  19. Xilinx Inc. (1999) VirtexTM: Configuration Architecture Advanced Users’ Guide

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer

About this chapter

Cite this chapter

Masselos, K., Voros, N.S. (2005). Introduction to Reconfigurable Hardware. In: Voros, N.S., Masselos, K. (eds) System Level Design of Reconfigurable Systems-on-Chip. Springer, Boston, MA. https://doi.org/10.1007/0-387-26104-4_1

Download citation

  • DOI: https://doi.org/10.1007/0-387-26104-4_1

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-26103-4

  • Online ISBN: 978-0-387-26104-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics