Skip to main content

Substrate Noise Generation in Complex Digital Systems

Analysis and experimental verification

  • Chapter
  • 328 Accesses

Abstract

More and more system-on-chip designs require the integration of analog circuits on large digital ASICs and will therefore suffer from substrate noise coupling. Accurate modeling and simulation are needed to investigate the generation, propagation, and impact of substrate noise. Recent studies were limited to the time-domain behavior of generated substrate noise and to noise injection from a single noise source. This chapter focuses on substrate noise generation by real digital circuits on low-ohmic substrates and on the spectral content of this noise. To simulate the noise generation, a SPICE substrate model for heavily doped epi-type substrates has been used. The accuracy of this model has been verified with measurements of substrate noise on a small experimental ASIC, using a wide-band substrate noise sensor amplifier, which allows accurate measurement of the spectral content of substrate noise. A second, more complex experimental ASIC has been designed, an 86-Kgate digital multirate filterbank with several noise sensor amplifiers, to analyze substrate noise generation in a real digital telecom ASIC and to investigate the influence of the different substrate noise coupling mechanisms.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. T. J. Schmerbeck, Low-power HF microelectronics: A unified approach, G. A. S. Machado, Ed. London, U.K.: Institution of Electrical Engineers(IEE), 1996, chapter 10.

    Google Scholar 

  2. D.K. Su, M.J. Loinaz, S. Masui, and B.A. Wooley, ‘Experimental results and modeling techniques for substrate noise in mixed-signal integrated circuits,’ IEEE J. Solid-State Circuits, vol. 28, pp. 420–430, Apr. 1993.

    Article  Google Scholar 

  3. R. Gharpurey and R. G. Meyer, ‘Modeling and analysis of substrate coupling in integrated circuits,’ IEEE J. Solid-State Circuits, vol. 31, pp. 344–353, Mar. 1996.

    Article  Google Scholar 

  4. N. K. Verghese and D. J. Allstot, ‘Verification of rf and mixed-signal integrated circuits for substrate coupling effects,’ in Proc. 1997 IEEE Custom Integrated Circuits Conf., 1997, pp. 363–370.

    Google Scholar 

  5. A. Samavedam, A. Sadate, K. Mayaram, and T. S. Fiez, ‘A scalable substrate noise coupling model for design of mixed-signal ic’s,’ IEEE J. Solid-State Circuits, vol. 35, no. 6, pp. 895–904, June 2000.

    Article  Google Scholar 

  6. M. Nagata, J. Nagai, K. Hijikata, T. Morie, and A. Iwata, ‘Physical design guides for substrate noise reduction in cmos digital circuits,’ IEEE J. Solid-Slate Circuits, vol. 36, no. 3, pp. 539–549, Mar. 2001.

    Article  Google Scholar 

  7. M. van Heijningen, J. Compiet, P. Wambacq, S. Donnay, and I. Bolsens, ‘A design experiment for measurement of the spectral content of substrate noise in mixed-signal integrated circuits,’ in Proc. 1999 Southwest Symp. Mixed-Signal Design, Tucson, AZ, Apr. 11–13, 1999, pp. 27–32.

    Google Scholar 

  8. M. van Heijningen, J. Compiet, P. Wambacq, S. Donnay, M. Engels, I. Bolsens, ‘Modeling of Digital Substrate Noise Generation and Experimental Verification Using a Novel Substrate Noise Sensor,’ in Proc. of European Solid-State Circuits Conference, pp. 186–189, September 1999.

    Google Scholar 

  9. M. van Heijningen, J. Compiet, P. Wambacq, S. Donnay, M. Engels, and I. Bolsens, ‘Analysis and experimental verification of digital substrate noise generation for epitype substrates,’ IEEE J. Solid-State Circuits, vol. 35, no. 7, pp. 1002–1008, July 2000.

    Article  Google Scholar 

  10. M. van Heijningen, M. Badaroglu, S. Donnay, H. De Man, G. Gielen, M. Engels, and I. Bolsens, ‘Substrate Noise Generation in Complex Digital Systems: Efficient Modeling and Simulation Methodology and Experimental Verification,’ in ISSCC Digest of Technical Papers, pp.342–343, 463, February 2001.

    Google Scholar 

  11. M. van Heijningen, M. Badaroglu, S. Donnay, G. Gielen, and H. De Man, ‘Substrate noise generation in complex digital systems: efficient modeling and simulation methodology and experimental verification,’ IEEE J. of Solid-State Circuits, vol. 37, pp. 1065–1072, August 2002.

    Article  Google Scholar 

  12. P. Larsson, ‘di/dt noise in cmos integrated circuits,’ Analog Integrated Circuits and Signal Processing, vol. 14, pp. 113–129, 1997.

    Article  Google Scholar 

  13. T. Gabara, ‘Reduced ground bounce and improved latch-up suppression through substrate conduction,’ IEEE J. Solid-State Circuits, vol. 23, pp. 1224–1232, Oct. 1988.

    Article  Google Scholar 

  14. R. Senthinathan and J. L. Prince, ‘Simultaneous switching ground noise calculation for packaged cmos devices,’ IEEE J. Solid-State Circuits, vol. 26, pp. 1724–1728, Nov. 1991.

    Article  Google Scholar 

  15. J. Briaire and K. S. Krisch, ‘Substrate injection and crosstalk in cmos circuits,’ in Proc. 1999 IEEE Custom Integrated Circuits Conf., 1999, pp. 483–486.

    Google Scholar 

  16. A. J. van Genderen and N. P. van der Meijs, ‘Modeling substrate coupling effects using a layout-to-circuit extraction program,’ in Proc. ProRISC/IEEE Benelux Workshop Circuits, Systems and Signal Processing, Nov. 1997, pp. 193–200.

    Google Scholar 

  17. Substrate Storm from Cadence: http://www.cadence.com/products/substrate_noise_analysis.html

  18. T. Blalack, J. Lau, F. J. R. Clément, and B. A. Wooley, ‘Experimental results and modeling of noise coupling in a lightly doped substrate,’ in IEDM’ 96 Tech. Dig., Dec. 1996, pp. 623–626.

    Google Scholar 

  19. K. Makie-Fukuda, T. Ando, T. Tsukada, T. Matsuura, and M. Hotta, ‘Voltage-comparator-based measurements of equivalently sampled sub-strate noise waveforms in mixed-signal integrated circuits,’ in IEEE J. Solid-State Circuits, vol. 31, May 1996, pp. 726–731.

    Article  Google Scholar 

  20. M. Nagata, Y. Kashima, D. Tamura, T. Morie, and A. Iwata, ‘Measurements and analyzes of substrate noise waveform in mixed-signal ic environment,’ in Proc. 1999 IEEE Custom Integrated Circuits Conf., pp. 575–578, 1999.

    Google Scholar 

  21. M. Nagata and A. Iwata, ‘Substrate noise simulation techniques for analog-digital mixed 1si design,’ IEICE Trans. Fundamentals, vol. E82-A, no. 2, pp. 271–277, Feb. 1999.

    Google Scholar 

  22. Y. Rolain, W. van Moer, G. Vandersteen, and M. van Heijningen, ‘Measuring mixed signal substrate coupling,’ in Proc. IMTC 2000, Balti-more, MD, May 2000.

    Google Scholar 

  23. R. Pasko, L. Rijnders, P. Schaumont, S. Vernalde, and D. Durackova, ‘High-performance flexible all-digital quadrature up and down converter chip,’ in Proc. IEEE Custom Integrated Circuits Conf., 2000, pp. 43–46.

    Google Scholar 

  24. E. Charbon, P. Miliozzi, L.P. Carloni, A. Ferrari, and A. Sangiovanni-Vincentelli, ‘Modeling digital substrate noise injection in mixed-signal ic’s,’ IEEE Trans. Computer-Aided Design of Integrated Circuits, vol. 18, no. 3, pp. 301–310, Mar. 1999.

    Article  Google Scholar 

  25. S. Mitra, R. A. Rutenbar, L. R. Carley, and D.J. Allstot, ‘Amethodology for rapid estimation of substrate-coupled switching noise,’ in Proc. 1995 IEEE Custom Integrated Circuits Conf., 1995, pp. 129–132.

    Google Scholar 

  26. D. Light, A. Faraci, and J. Fjelstad, ‘Chip-size package technology for semiconductors,’ Microwave Journal, vol. 41, no.5, pp. 280–294, May 1998.

    Google Scholar 

  27. Z. Feng, W. Zhang, B. Su, K. C. Gupta, and Y. C. Lee, ‘Rf and mechanical characterization of flip-chip interconnects in cpw circuits with underfill,’ IEEE Trans. Microwave Theory Tech., vol. 46, no. 12, pp. 2269–2275, Dec. 1998.

    Article  Google Scholar 

  28. P. Larsson, ‘Resonance and damping in cmos circuits with on-chip decoupling capacitance,’ IEEE Trans. Circuits and Systems — I, vol. 45, no. 8, pp. 849–858, Aug. 1998.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2004 Kluwer Academic Publishers

About this chapter

Cite this chapter

Donnay, S., van Heijningen, M., Badaroglu, M. (2004). Substrate Noise Generation in Complex Digital Systems. In: Donnay, S., Gielen, G. (eds) Substrate Noise Coupling in Mixed-Signal ASICs. Springer, Boston, MA. https://doi.org/10.1007/0-306-48170-7_2

Download citation

  • DOI: https://doi.org/10.1007/0-306-48170-7_2

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4020-7381-6

  • Online ISBN: 978-0-306-48170-3

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics