Skip to main content

Circuit and System Level Power Management

  • Chapter
Power Aware Design Methodologies

Abstract

This chapter describes the concept of dynamic power management (DPM), which is a methodology used to decrease the power consumption of a system. In DPM, a system is dynamically reconfigured to lower the power consumption while meeting some performance requirement. In other words, depending on the necessary performance and the actual computation load, the system or some of its blocks are tuned-off or their performance is lowered. This chapter reviews several approaches to system-level DPM, including fixed time-out, predictive shut-down or wake-up, and stochastic methods. In addition, it presents the key ideas behind circuit-level power management including clock gating, power gating and precomputation logic. The chapter concludes with a description of several runtime mechanisms for leakage power control in VLSI circuits.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Intel, Microsoft, Toshiba, Advanced configuration and power interface specification, http://www.acpi.info/.

  2. IBM, “2.5-Inch Travelstar Hard Disk Drive,” 1998.

    Google Scholar 

  3. M. Srivastava, A. P. Chandrakasan, R. W. Brodersen, “Predictive system shutdown and other architectural techniques for energy efficient programmable computation,” IEEE Trans. on VLSI Systems, vol. 4, no. 1, pp. 42–55, 1996.

    Google Scholar 

  4. C. Hwang, A. C.-H. Wu, “A predictive system shutdown method for energy saving of event-driven computation,” Proc. International Conference on Computer-Aided Design of Integrated Circuits and Systems, Vol. 16, pp. 28–32, November 1997.

    Google Scholar 

  5. L. Benini, A. Bogliolo, G. A. Paleologo and G. De Micheli, “Policy optimization for dynamic power management,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol. 18, pp. 813–833, June 1999.

    Google Scholar 

  6. E. Chung, L. Benini and G. De Micheli, “Dynamic power management for non stationary service requests,” Proc. Design and Test in Europe Conference, March 1999, pp. 77–81.

    Google Scholar 

  7. Q. Qiu, Q. Wu and M. Pedram, “Stochastic modeling of a power-managed systemconstruction and optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 1200–1217, October 2001.

    Google Scholar 

  8. Simunic T, Benini L, Glynn P, De Micheli G. “Event-driven power management,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 20, pp. 840–857, July 2001.

    Article  Google Scholar 

  9. Q. Wu, Q. Qiu, and M. Pedram, “Dynamic power management of complex systems using generalized stochastic Petri nets,” Proc. 37th Design Automation Conference, June 2000, pp. 352–356.

    Google Scholar 

  10. Q. Qiu, Q. Wu and M. Pedram, “Dynamic power management in a mobile multimedia system with guaranteed quality-of-service,” Proc. 38th Design Automation Conference, June 2001, pp. 834–839.

    Google Scholar 

  11. M. Pedram and Q. Wu, “Design considerations for battery-power electronics,” Proc. 36th Design Automation Conference, June 1999, pp. 861–866.

    Google Scholar 

  12. Thomas F. Fuller, Marc Doyle and John Newman, “Relaxation phenomena in lithiumion-insertion cells,” Journal of Electrochemical Society, Vol. 141, April 1994.

    Google Scholar 

  13. P. Rong and M. Pedram, “Battery-aware power management based on CTMDPs,” Technical Report, Department of Electrical Engineering, University of Southern California, No. 02–06, May 2002.

    Google Scholar 

  14. N. Raghavan, V. Akella and S. Bakshi, “Automatic insertion of gated clocks at register transfer level,” Proc. 12th International Conference on VLSI Design, January 1999.

    Google Scholar 

  15. M. Weiser, B. Welch, A. Demers, and S. Shenker, “Scheduling for reduced CPU energy,” in Proc. First Symposium on Operating Systems Desifsgn Implementation, 1994, pp. 13–23.

    Google Scholar 

  16. K. Govil, E. Chan, and H. Wasserman, “Comparing algorithms for dynamic speed-setting of a low power CPU,” Proc. First International Conference on Mobile Computing Networking, 1995, pp. 13–25.

    Google Scholar 

  17. A. Chandrakasan, V. Gutnik, and T. Xanthopoulos, “Data driven signal processing: an approach to energy efficient computing,” Proc. International Symposium on Low Power Electronics and Design, August 1996, pp.347–352.

    Google Scholar 

  18. D. Shin, J. Kim, and S. Lee, “Low-energy intra-task voltage scheduling using static timing analysis,” Proc. 38th Design Automation Conference, June 2001, pp. 438–443.

    Google Scholar 

  19. S. Lee and T. Sakurai, “Run-time power control scheme using software feedback loop for low-power real-time applications,” Proc. Asia South-Pacific Design Automation Conference, January 2000, pp. 381–386.

    Google Scholar 

  20. B. Razavi, RF Microelectronics, Prentice Hall, 1997.

    Google Scholar 

  21. O. Y-H Leung, C-W Yue, C-Y Tsui, R. S. Cheng, “Reducing power consumption of turbo code decoder using adaptive iteration with variable supply voltage,” Proc. International Symposium on Low Power Electronics and Design, August 1999, pp. 36–41.

    Google Scholar 

  22. F. Gilbert, A. Worm, N. When, “Low power implementation of a turbo-decoder on programmable architectures,” Proc. Asia South-Pacific Design Automation Conference, January 2001, pp. 400–403.

    Google Scholar 

  23. T. Pering, T. Burd, and R. Broderson, “The simulation and evaluation of dynamic voltage scaling algorithms,” Proc. International Symposium on Low Power Electronics and Design, August 1998, pp.76–81.

    Google Scholar 

  24. K. Choi, K. Dantu and M. Pedram, “Frame-based dynamic voltage and frequency scaling for a MPEG decoder,” Technical Report, Department of Electrical Engineering, University of Southern California, No. 02–07, May 2002.

    Google Scholar 

  25. M. Alidina, J. Monteiro, S. Devadas, A. Ghosh, and M. Papaefthymiou, “Precomputation-Based Sequential Logic Optimization for Low Power,” Proc. International Conference on Computer-Aided Design, November 1994, pp. 74–81.

    Google Scholar 

  26. C-F. Yeap, “Leakage current in low standby power and high performance devices: trends and chlaanges,” Proc. International Symposium on Physical Design, April 2002, pp. 22–27.

    Google Scholar 

  27. Semiconductor Industry Association, International Technology Roadmap for Semiconductors, 2001 edition, http://public.itrs.net/.

  28. B. Sheu, D. Scharfetter, P. Ko, and M. Jeng, “BSIM: Berkeley short-channel IGFET model for MOS transistors,” IEEE Journal of Solid State Circuits, Vol. 22, August 1987, pp. 558–566.

    Google Scholar 

  29. J. T. Kao, A. P. Chandrakasan, “Dual-threshold voltage techniques for low-power digital circuits,” IEEE Journal of Solid-State Circuits, Vol. 35, July 2000, pp. 1009–1018.

    Article  Google Scholar 

  30. K. Seta, H. Hara, T. Kuroda, et al., “50% active-power saving without speed degradation using standby power reduction (SPR) circuit,” IEEE International. Solid-State Circuits Conf., February 1995, pp. 318–319.

    Google Scholar 

  31. S-M. Kang and Y. Lelebici, CMOS Digital Integrated Circuits, Mc Graw Hill, second edition, 1999.

    Google Scholar 

  32. A. Keshavarzi, S. Narendra, S. Borkar, V. De, and K. Roy, “Technology scaling behavior of optimum reverse body bias for standby leakage power reduction in CMOS IC’,” Proc. International Symposium on Low Power Electronics and Design, August 1999, pp. 252–254.

    Google Scholar 

  33. V. De and S. Borkar, “Low power and high performance design challenges in future technologies,” Proc. the 10th Great Lakes Symposium on VLSI, 2000, pp. 1–6.

    Google Scholar 

  34. T. Kuroda, T. Fujita, F. Hatori, and T. Sakurai, “Variable threshold-voltage CMOS technology,” IEICE Transactions. on Fundamentals of Electronics, Communications and Computer Sciences, vol. E83-C, November 2000, pp. 1705–1715.

    Google Scholar 

  35. A. Abdollahi, F. Fallah, M. Pedram, “Minimizing leakage current in VLSI circuits,” Technical Report, Department of Electrical Engineering, University of Southern California, No. 02–08, May 2002.

    Google Scholar 

  36. A. Abdollahi, F. Fallah, M. Pedram, “Runtime mechanisms for leakage current reduction in CMOS VLSI circuits,” Proc. International Symposium on Low Power Electronics and Design, August 2002.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2002 Kluwer Academic Publishers

About this chapter

Cite this chapter

Fallah, F., Pedram, M. (2002). Circuit and System Level Power Management. In: Pedram, M., Rabaey, J.M. (eds) Power Aware Design Methodologies. Springer, Boston, MA. https://doi.org/10.1007/0-306-48139-1_13

Download citation

  • DOI: https://doi.org/10.1007/0-306-48139-1_13

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4020-7152-2

  • Online ISBN: 978-0-306-48139-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics