Skip to main content

Accelerated and Active Self-healing Techniques for BTI Wearout

  • Chapter
  • First Online:
Circadian Rhythms for Future Resilient Electronic Systems

Abstract

BTI has long been recognized as a partially reversible wearout effect, but the literature is vague about how much recovery can be achieved under different conditions and what it means for designers to boost the rate and level of BTI recovery. This chapter proposes a series of biologically inspired techniques that are able to effectively accelerate and activate the BTI recovery; measurement results with actual hardware demonstrate that even what would be considered irreversible BTI wearout can be almost fully eliminated by employing an internal circadian rhythm for recovery. By fully taking advantage of the explored unique BTI recovery behaviors and running the system in a “refreshed” mode, the necessary design margins that would be assigned by flat-guardband approach can be significantly reduced, and the average performance can be improved as well. We present the theory, models, experimental demonstration, and potential design benefits of accelerated and active BTI recovery in this chapter.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. S Mahapatra, V Huard, A Kerber, V Reddy, S Kalpat, and A Haggag. Universality of nbti-from devices to circuits and products. In Reliability Physics Symposium, 2014 IEEE International, pages 3B–1. IEEE, 2014.

    Google Scholar 

  2. Souvik Mahapatra. Fundamentals of Bias Temperature Instability in MOS Transistors. Springer, 2016.

    Book  Google Scholar 

  3. Wenping Wang, Shengqi Yang, Sarvesh Bhardwaj, Rakesh Vattikonda, Sarma Vrudhula, Frank Liu, and Yu Cao. The impact of nbti on the performance of combinational and sequential circuits. In Proceedings of the 44th annual Design Automation Conference, pages 364–369. ACM, 2007.

    Google Scholar 

  4. James H Stathis, Souvik Mahapatra, and Tibor Grasser. Controversial issues in negative bias temperature instability. Microelectronics Reliability, 81:244–251, 2018.

    Article  Google Scholar 

  5. Souvik Mahapatra and Narendra Parihar. A review of nbti mechanisms and models. Microelectronics Reliability, 81:127–135, 2018.

    Article  Google Scholar 

  6. Rakesh Vattikonda, Wenping Wang, and Yu Cao. Modeling and minimization of pmos nbti effect for robust nanometer design. In Proceedings of the 43rd annual Design Automation Conference, pages 1047–1052. ACM, 2006.

    Google Scholar 

  7. Jyothi Bhaskarr Velamala, Ketul B Sutaria, Hirofumi Shimizu, Hiromitsu Awano, Takashi Sato, Gilson Wirth, and Yu Cao. Compact modeling of statistical bti under trapping/detrapping. IEEE Transactions on Electron Devices, 60(11):3645–3654, 2013.

    Article  Google Scholar 

  8. Yu Cao, Jyothi Velamala, Ketul Sutaria, Mike Shuo-Wei Chen, Jonathan Ahlbin, Ivan Sanchez Esqueda, Michael Bajura, and Michael Fritze. Cross-layer modeling and simulation of circuit reliability. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 33(1):8–23, 2014.

    Google Scholar 

  9. V Huard, M Denais, and C Parthasarathy. Nbti degradation: From physical mechanisms to modelling. Microelectronics Reliability, 46(1):1–23, 2006.

    Article  Google Scholar 

  10. Subrat Mishra, Hiu Yung Wong, Ravi Tiwari, Ankush Chaudhary, Narendra Parihar, Rakesh Rao, Steve Motzny, Victor Moroz, and Souvik Mahapatra. Predictive tcad for nbti stress-recovery in various device architectures and channel materials. In Reliability Physics Symposium (IRPS), 2017 IEEE International, pages 6A–3. IEEE, 2017.

    Google Scholar 

  11. A Benabdelmoumene, B Djezzar, A Chenouf, H Tahi, B Zatout, and M Kechouane. On the turn-around phenomenon in n-mos transistors under nbti conditions. Solid-State Electronics, 121:34–40, 2016.

    Article  Google Scholar 

  12. S Pae, M Agostinelli, M Brazier, R Chau, G Dewey, T Ghani, M Hattendorf, J Hicks, J Kavalieros, K Kuhn, et al. Bti reliability of 45 nm high-k+ metal-gate process technology. In Reliability Physics Symposium, 2008. IRPS 2008. IEEE International, pages 352–357. IEEE, 2008.

    Google Scholar 

  13. S Zafar, YH Kim, V Narayanan, C Cabral Jr, V Paruchuri, B Doris, J Stathis, A Callegari, and M Chudzik. A comparative study of nbti and pbti (charge trapping) in sio2/hfo2 stacks with fusi, tin, re gates. In VLSI Technology, 2006. Digest of Technical Papers. 2006 Symposium on, pages 23–25. IEEE, 2006.

    Google Scholar 

  14. Tibor Grasser, B Kaczer, W Goes, Th Aichinger, Ph Hehenberger, and M Nelhiebel. A two-stage model for negative bias temperature instability. In Reliability Physics Symposium, 2009 IEEE International, pages 33–44. IEEE, 2009.

    Google Scholar 

  15. Narendra Parihar, Uma Sharma, Subhadeep Mukhopadhyay, Nilesh Goel, Ankush Chaudhary, Rakesh Rao, and Souvik Mahapatra. Resolution of disputes concerning the physical mechanism and DC/AC stress/recovery modeling of Negative Bias Temperature Instability (NBTI) in p-MOSFETs. In Reliability Physics Symposium (IRPS), 2017 IEEE International, pages XT–1. IEEE, 2017.

    Google Scholar 

  16. Jyothi Bhaskarr Velamala, Ketul Sutaria, Takashi Sato, and Yu Cao. Physics matters: statistical aging prediction under trapping/detrapping. In Proceedings of the 49th Annual Design Automation Conference, pages 139–144. ACM, 2012.

    Google Scholar 

  17. Jaume Abella, Xavier Vera, and Antonio Gonzalez. Penelope: The nbti-aware processor. In Microarchitecture, 2007. MICRO 2007. 40th Annual IEEE/ACM International Symposium on, pages 85–96. IEEE, 2007.

    Google Scholar 

  18. Xiaoming Chen, Yu Wang, Yu Cao, Yuchun Ma, and Huazhong Yang. Variation-aware supply voltage assignment for simultaneous power and aging optimization. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 20(11):2143–2147, 2012.

    Article  Google Scholar 

  19. Saket Gupta and Sachin S Sapatnekar. Gnomo: Greater-than-nominal v dd operation for bti mitigation. In Design Automation Conference (ASP-DAC), 2012 17th Asia and South Pacific, pages 271–276. IEEE, 2012.

    Google Scholar 

  20. Saket Gupta and Sachin S Sapatnekar. Employing circadian rhythms to enhance power and reliability. ACM Transactions on Design Automation of Electronic Systems (TODAES), 18(3):38, 2013.

    Article  Google Scholar 

  21. Mohammad Saber Golanbari, Nour Sayed, Mojtaba Ebrahimi, Mohammad Hadi Moshrefpour Esfahany, Saman Kiamehr, and Mehdi B Tahoori. Aging-aware coding scheme for memory arrays. In Test Symposium (ETS), 2017 22nd IEEE, pages 1–6. IEEE, 2017.

    Google Scholar 

  22. Abhishek Tiwari and Josep Torrellas. Facelift: Hiding and slowing down aging in multicores. In Microarchitecture, 2008. MICRO-41. 2008 41st IEEE/ACM International Symposium on, pages 129–140. IEEE, 2008.

    Google Scholar 

  23. Nimay Shah, Rupak Samanta, Ming Zhang, Jiang Hu, and Duncan Walker. Built-in proactive tuning system for circuit aging resilience. In Defect and Fault Tolerance of VLSI Systems, 2008. DFTVS’08. IEEE International Symposium on, pages 96–104. IEEE, 2008.

    Google Scholar 

  24. Taniya Siddiqua and Sudhanva Gurumurthi. Nbti-aware dynamic instruction scheduling. In Proceedings of the 5th Workshop on Silicon Errors in Logic-System Effects. Citeseer, 2009.

    Google Scholar 

  25. Lin Li, Youtao Zhang, Jun Yang, and Jianhua Zhao. Proactive nbti mitigation for busy functional units in out-of-order microprocessors. In Proceedings of the Conference on Design, Automation and Test in Europe, pages 411–416. European Design and Automation Association, 2010.

    Google Scholar 

  26. Dean Michael Ancajas, Koushik Chakraborty, and Sanghamitra Roy. Proactive aging management in heterogeneous nocs through a criticality-driven routing approach. In Proceedings of the Conference on Design, Automation and Test in Europe, pages 1032–1037. EDA Consortium, 2013.

    Google Scholar 

  27. Hans Reisinger, Oliver Blank, Wolfgang Heinrigs, Wolfgang Gustin, and Christian Schlünder. A comparison of very fast to very slow components in degradation and recovery due to nbti and bulk hole trapping to existing physical models. Device and Materials Reliability, IEEE Transactions on, 7(1):119–129, 2007.

    Article  Google Scholar 

  28. Pradip Bose, Jeonghee Shin, and Victor Zyuban. Method for extending lifetime reliability of digital logic devices through removal of aging mechanisms, February 10 2009. US Patent 7,489,161.

    Google Scholar 

  29. Pradip Bose, Jeonghee Shin, and Victor Zyuban. Method for extending lifetime reliability of digital logic devices through reversal of aging mechanisms, February 3 2009. US Patent 7,486,107.

    Google Scholar 

  30. Jeonghee Shin, Victor Zyuban, Pradip Bose, and Timothy M Pinkston. A proactive wearout recovery approach for exploiting microarchitectural redundancy to extend cache sram lifetime. In ACM SIGARCH Computer Architecture News, volume 36, pages 353–362. IEEE Computer Society, 2008.

    Google Scholar 

  31. Taniya Siddiqua and Sudhanva Gurumurthi. Recovery boosting: A technique to enhance nbti recovery in sram arrays. In VLSI (ISVLSI), 2010 IEEE Computer Society Annual Symposium on, pages 393–398. IEEE, 2010.

    Google Scholar 

  32. Aditya Bansal and Jae-Joon Kim. Power napping technique for accelerated negative bias temperature instability (nbti) and/or positive bias temperature instability (pbti) recovery, July 21 2015. US Patent 9086865.

    Google Scholar 

  33. Thomas Aichinger, Michael Nelhiebel, and Tibor Grasser. On the temperature dependence of nbti recovery. Microelectronics Reliability, 48(8):1178–1184, 2008.

    Article  Google Scholar 

  34. Anastasios A Katsetos. Negative bias temperature instability (nbti) recovery with bake. Microelectronics Reliability, 48(10):1655–1659, 2008.

    Article  Google Scholar 

  35. Gregor Pobegen, Thomas Aichinger, Michael Nelhiebel, and Tibor Grasser. Understanding temperature acceleration for nbti. In Proc. Intl. Electron Devices Meeting (IEDM), pages 27–3, 2011.

    Google Scholar 

  36. Tibor Grasser, Th Aichinger, Gregor Pobegen, Hans Reisinger, P-J Wagner, Jacopo Franco, M Nelhiebel, and Ben Kaczer. The ‘permanent’ component of nbti: composition and annealing. In Reliability Physics Symposium (IRPS), 2011 IEEE International, pages 6A–2. IEEE, 2011.

    Google Scholar 

  37. Boualem Djezzar, Hakim Tahi, Abdelmadjid Benabdelmoumene, Amel Chenouf, Mohamed Goudjil, and Youcef Kribes. On the permanent component profiling of the negative bias temperature instability in p-mosfet devices. Solid-State Electronics, 106:54–62, 2015.

    Article  Google Scholar 

  38. Jan M Rabaey, Anantha P Chandrakasan, and Borivoje Nikolic. Digital integrated circuits, volume 2. Prentice hall Englewood Cliffs, 2002.

    Google Scholar 

  39. KK Ramakrishnan, Smitha Suresh, Narayanan Vijaykrishnan, Mary Jane Irwin, and Vijay Degalahal. Impact of nbti on fpgas. In VLSI Design, 2007. Held jointly with 6th International Conference on Embedded Systems., 20th International Conference on, pages 717–722. IEEE, 2007.

    Google Scholar 

  40. Yasuo Sato, Masafumi Monden, Yousuke Miyake, and Seiji Kajihara. Reduction of nbti-induced degradation on ring oscillators in fpga. In Dependable Computing (PRDC), 2014 IEEE 20th Pacific Rim International Symposium on, pages 59–67. IEEE, 2014.

    Google Scholar 

  41. Giray Kömürcü, Ali Emre Pusane, and Günhan Dündar. Effects of aging and compensation mechanisms in ordering based ro-pufs. Integration, the VLSI Journal, 52:71–76, 2016.

    Article  Google Scholar 

  42. Lattice Semiconductor iCE40 HX-Series Ultra Low-Power mobile FPGA Family Datasheet:. http://www.latticesemi.com/Products/FPGAandCPLD/iCE40.aspx.

  43. M Naouss and F Marc. Design and implementation of a low cost test bench to assess the reliability of fpga. Microelectronics Reliability, 55(9):1341–1345, 2015.

    Article  Google Scholar 

  44. Siva Velusamy, Wei Huang, John Lach, Mircea Stan, and Kevin Skadron. Monitoring temperature in fpga based socs. In Computer Design: VLSI in Computers and Processors, 2005. ICCD 2005. Proceedings. 2005 IEEE International Conference on, pages 634–637. IEEE, 2005.

    Google Scholar 

  45. Atmel SAM7SE microcontroller Education Kit. http://www.atmel.com/tools/sam7se-ek.aspx.

  46. Hasse Fredriksson and Ulla Akerlind. Physics of functional materials. John Wiley & Sons, 2008.

    Book  Google Scholar 

  47. Vincent Huard, Florian Cacho, Xavier Federspiel, and Pascal Mora. Hot-carrier injection degradation in advanced cmos nodes: a bottom-up approach to circuit and system reliability. In Hot Carrier Degradation in Semiconductor Devices, pages 401–444. Springer, 2015.

    Google Scholar 

  48. Ricardo Reis, Yu Cao, and Gilson Wirth. Circuit design for reliability. Springer, 2015.

    Book  Google Scholar 

  49. Jayanth Srinivasan, Sarita V Adve, Pradip Bose, and Jude A Rivers. The case for lifetime reliability-aware microprocessors. In ACM SIGARCH Computer Architecture News, volume 32, page 276. IEEE Computer Society, 2004.

    Google Scholar 

  50. Raoul Fernandez, Ben Kaczer, Axel Nackaerts, Steven Demuynck, R Rodriguez, Montserat Nafria, and Guido Groeseneken. Ac nbti studied in the 1 hz–2 ghz range on dedicated on-chip cmos circuits. In Electron Devices Meeting, 2006. IEDM’06. International, pages 1–4. IEEE, 2006.

    Google Scholar 

  51. GCKY Chen, KY Chuah, MF Li, Daniel SH Chan, CH Ang, JZ Zheng, Y Jin, and DL Kwong. Dynamic nbti of pmos transistors and its impact on device lifetime. In Reliability Physics Symposium Proceedings, 2003. 41st Annual. 2003 IEEE International, pages 196–202. IEEE, 2003.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Guo, X., Stan, M.R. (2020). Accelerated and Active Self-healing Techniques for BTI Wearout. In: Circadian Rhythms for Future Resilient Electronic Systems. Springer, Cham. https://doi.org/10.1007/978-3-030-20051-0_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-20051-0_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-20050-3

  • Online ISBN: 978-3-030-20051-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics