Skip to main content

Circuits for Electronic-Photonic Integration

  • Chapter
  • First Online:
Silicon Optoelectronic Integrated Circuits

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 13))

  • 1325 Accesses

Abstract

In this chapter newest research on three-dimensional integration is described. Three promising methods for 3D integration of using copper micro pillars, interwafer connects, and through-silicon vias are introduced. The application of these 3D-integration techniques in the integration of photonic chips on top of electronic chips is described subsequently. Four application examples, a multi-node optical switch, a transceiver, a sensor for optical tomography, and a sensor for 3D microimaging are explained in detail from system architecture down to the transistor level.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. F. Testa, C.J. Oton, C. Kopp, J.-M. Lee, R. Ortuno, R. Enne, S. Tondini, G. Chiaretti, A. Bianchi, P. Pintus, M.-S. Kim, D. Fowler, J.A. Ayucar, M. Hofbauer, M. Mancinelli, M. Fournier, G.B. Preve, N. Zecevic, C.L. Manganelli, C. Castellan, G. Pares, O. Lemonnier, F. Gambini, P. Labeye, M. Romagnoli, L. Pavesi, H. Zimmermann, F.D. Pasquale, S. Stefano, Design and implementation of an integrated reconfigurable silicon photonics switch matrix in IRIS project. IEEE J. Sel. Top. Quantum Electron. 22(6), 3600314 (2016)

    Article  ADS  Google Scholar 

  2. G. Parès, A. Attard, F. Dosseul, A. N’Hari, O. Boillon, L. Toffanin, G. Klug, G. Simon, Development of an ultra thin die-to-wafer flip chip stacking process for 2.5D integration, in Proceedings of the 46th Annual International Symposium on Microelectron (2013), pp. 516–522

    Google Scholar 

  3. A.W. Topol, B.K. Furman, K.W. Guarini, L. Shi, G.M. Cohen, G.F. Walker, Enabling technologies for wafer-level bonding of 3D MEMS and integrated circuit structures, in Proceedings of the 54th Electronic Components and Technology Conference, vol. 1 (2004), pp. 931–938

    Google Scholar 

  4. V. Suntharalingam, R. Berger, J.A. Burns, C.K. Chen, C.L. Keast, J.M. Knecht, R.D. Lambert, K.L. Newcomb, D.M. O’ Mara, D.D. Rathman, D.C. Shaver, A.M. Soares, C.N. Stevenson, B.M. Tyrrell, K. Warner, B.D. Wheeler, D.-R.W. Yost, D.J. Young, Megapixel CMOS image sensor fabricated in three-dimensional integrated circuit technology, in Proceedings of the IEEE International Solid-State Circuits Conference (2005), pp. 356–357

    Google Scholar 

  5. C.L. Chen, D.-R. Yost, J.M. Knecht, J. Wey, D.C. Chapman, D.C. Oakley, A.M. Soares, L.J. Mahoney, J.P. Donnelly, C.K. Chen, V. Suntharalingam, R. Berger, W. Hu, B.D. Wheeler, C.L. Keast, D.C. Shaver, Wafer-scale 3D integration of InGaAs photodiode arrays with Si readout circuits by oxide bonding and through-oxide vias. Microelectron. Eng. 88(1), 131–134 (2011)

    Article  Google Scholar 

  6. N. Vokic, P. Brandl, K. Schneider-Hornstein, B. Goll, H. Zimmermann, 10 Gb/s switchable binary/PAM-4 receiver and ring modulator driver for 3-D optoelectronic integration. IEEE J. Sel. Top. Quantum Electron. 22(6), 6100309 (2016)

    Article  ADS  Google Scholar 

  7. M. Smith, E. Stern, Methods of making thru-connections in semiconductor wafers, US Patent 3,343,256 (1967)

    Google Scholar 

  8. J.H. Lau, C.K. Lee, C.S. Premachandran, A. Aibin, Advanced MEMS Packaging (McGraw-Hill, New York, NY, 2010)

    Google Scholar 

  9. K. Johguchi, T. Hatanaka, K. Ishida, T. Yasufuku, M. Takamiya, T. Sakurai, K. Takeuchi, Through-silicon via design for a 3-D solid-state drive system with boost converter in a package. IEEE Trans. Compon. Packag. Manuf. Technol. 1(2), 269–277 (2011)

    Article  Google Scholar 

  10. A. Polzer, W. Gaberl, R. Swobodal, H. Zimmermann, J.-M. Fedeli, L. Vivien, A 10Gb/s transimpedance amplifier for hybrid integration of a Ge PIN waveguide photodiode. Proc. SPIE 7719, 1N1–1N9 (2010)

    Google Scholar 

  11. J.M. Pavia, M. Scandini, S. Lindner, M. Wolf, E. Charbon, A 1 \(\times \) 400 backside-illuminated SPAD sensor with 49.7 ps resolution, 30 pJ/sample TDCs fabricated in 3D CMOS technology for near-infrared optical tomography. IEEE J. Solid-State Circuits 50(10), 2406–2418 (2015)

    Article  ADS  Google Scholar 

  12. S. Mandai, M.W. Fishburn, Y. Maruyama, E. Charbon, A wide spectral range single-photon avalanche diode fabricated in an advanced 180 nm CMOS technology. Opt. Express 20(6), 5849–5857 (2012)

    Article  ADS  Google Scholar 

  13. F. Testa, L. Pavesi, Optical Switching in Next Generation Data Centers (Springer, Cham, Switzerland, 2018)

    Google Scholar 

  14. P. Dainesi, A.M. Ionescu, L. Thevenaz, K. Banerjee, M.J. Declercq, P. Robert, P. Renaud, P. Fluckiger, C. Hibert, G.A. Racine, 3-D integrable optoelectronic device for telecommunications ICs, in Proceedings of the IEEE International Solid-State Circuits Conference, vol. 473 (2002), pp. 360–361

    Google Scholar 

  15. R.T. Chen et al., A high-speed low-voltage stress-induced micromachined 2 \(\times \) 2 optical switch. IEEE Photonics Technol. Lett. 11, 1396–1398 (1999)

    Google Scholar 

  16. N. Zecevic, M. Hofbauer, H. Zimmermann, Integrated pulsewidth modulation control for a scalable optical switch matrix. IEEE Photonics J. 7(6), 7803007 (2015)

    Article  Google Scholar 

  17. P. Pintus, P. Contu, N. Andriolli, A. D’Errico, F.D. Pasquale, F. Testa, Analysis and design of microring-based switching elements in a silicon photonic integrated transponder aggregator. J. Lightwave Technol. 31(24), 3943–3955 (2013)

    Article  ADS  Google Scholar 

  18. R. Enne, M. Hofbauer, N. Zecevic, B. Goll, H. Zimmermann, Integrated analogue-digital control circuit for photonic switch matrices. IET Electron. Lett. 52(12), 1045–1047 (2016)

    Article  Google Scholar 

  19. R.J. Baker, CMOS Circuit Design, Layout, and Simulation (Wiley, Hoboken, New Jersey, 2010)

    Book  Google Scholar 

  20. N. Zecevic, M. Hofbauerl, B. Goll, H. Zimmermann, S. Tondini, A. Chalyan, G. Fontana, L. Pavesi, F. Testa, S. Stracca, A. Bianchi, C. Manganelli, P. Velha, P. Pintus, C. Oton, C. Kopp, L. Adelmini, O. Lemonnier, G. Pares, G. Chiaretti, A. Serrano, J.A. Ayucar, G.B. Preve, M.-S. Kim, J.M. Lee, A 3D photonic-electronic integrated transponder aggregator with 48 \(\times \) 16 heater control cells. IEEE Photonics Technol. Lett. 30(8), 681–684 (2018)

    Google Scholar 

  21. Q. Xu, B. Schmidt, S. Pradhan, M. Lipson, Micrometre-scale silicon electro-optic modulator. Nature 435, 325–327 (2005)

    Article  ADS  Google Scholar 

  22. C. Li, R. Bai, A. Shafik, E.Z. Tabasy, G. Tang, C. Ma, C.-H. Chen, Z. Peng, M. Fiorentino, P. Chiang, S. Palermo, A ring-resonator-based silicon photonics transceiver with bias-based wavelength stabilization and adaptive-power-sensitivity receiver, in Proceedings of the IEEE International Solid-State Circuits Conference (2013), pp. 124–125

    Google Scholar 

  23. J.F. Buckwalter, X. Zheng, G. Li, K. Raj, A.V. Krishnamoorthy, A monolithic 25-Gb/s transceiver with photonic ring modulators and Ge detectors in a 130-nm CMOS SOI process. IEEE J. Solid-State Circuits 47(6), 1309–1322 (2012)

    Article  ADS  Google Scholar 

  24. F.Y. Liu, D. Patil, J. Lexau, P. Amberg, M. Dayringer, J. Gainsley, H.F. Moghadam, X. Zheng, J.E. Cunningham, A.V. Krishnamoorthy, E. Alon, R. Ho, 10-Gbps, 5.3 mW optical transmitter and receiver circuits in 40-nm CMOS. IEEE J. Solid-State Circuits 47(9), 2049–2067 (2012)

    Article  ADS  Google Scholar 

  25. C. Li, R. Bai, A. Shafik, E.Z. Tabasy, B. Wang, G. Tang, C. Ma, C.-H. Chen, Z. Peng, M. Fiorentino, R.G. Beausoleil, P. Chiang, S. Palerm, Silicon photonic transceiver circuits with microring resonator bias-based wavelength stabilization in 65 nm CMOS. IEEE J. Solid-State Circuits 49(6), 1419–1436 (2014)

    Article  ADS  Google Scholar 

  26. M. Rakowski, J. Ryckaert, M. Pantouvaki, H. Yu, W. Bogaerts, K. de Meyer, M. Steyaert, P.P. Absil, J.V. Campenhout, Low-power, 10-Gb/s 1.5-Vpp differential CMOS driver for a silicon electro-optic ring modulator, in Proceedings of the IEEE Custom Integrated Circuits Conference (CICC) (2012), pp. 1–6

    Google Scholar 

  27. Y. Chen, M. Kibune, A. Toda, A. Hayakawa, T. Akiyama, S. Sekiguchi, H. Ebe, N. Imaizumi, T. Akahoshi, S. Akiyama, S. Tanaka, T. Simoyama, K. Morito, T. Yamamoto, T. Mori, Y. Koyanagi, H. Tamura, A 25 Gb/s hybrid integrated silicon photonic transceiver in 28 nm CMOS and SOI, in Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC) (2015), pp. 1–3

    Google Scholar 

  28. H. Li, Z. Xuan, A. Titriku, C. Li, K. Yu, B. Wang, A. Shafik, N. Qi, Y. Liu, R. Ding, T. Baehr-Jones, M. Fiorentino, M. Hochberg, S. Palermo, P.Y. Chiang, A 25 Gb/s, 4.4 V-swing, AC-coupled ring modulator-based WDM transmitter with wavelength stabilization in 65 nm CMOS. IEEE J. Solid-State Circuits 50(12), 3145–3159 (2015)

    Article  ADS  Google Scholar 

  29. M. Rakowski, M. Pantouvaki, P. Verheyen, J.D. Coster, G. Lepage, P. Absil, J.V. Campenhout, A 50Gb/s, 610fJ/bit hybrid CMOS-Si photonics ring-based NRZ-OOK transmitter, in Optical Fiber Communications Conference and Exhibition (OFC) (20–24 March 2016), pp. 1–3, https://doi.org/10.1364/OFC.2016.Th1F.4]

  30. N. Vokić B. Schrenk, P. Mülner, B. Goll, D. Fowler, S. Jessenig, J. Kraft, M. Fournier, V. Muffato, R. Hainberger, K. Schneider-Hornstein, H. Zimmermann, 3D-Integrated Transceiver for Optical Communications, in Austrian Workshop on Microelectronics (Austrochip) (2018). Accepted

    Google Scholar 

  31. C.S.C. Sun, M. Georgas, J. Orcutt, B. Moss, Y.H. Chen, J. Shainline, M. Wade, K. Mehta, K. Nammari, E. Timurdogan, D. Miller, O. Tehar-Zahav, Z. Sternberg, J. Leu, J. Chong, R. Bafrali, G. Sandhu, M. Watts, R. Meade, M. Popović, R. Ram, V. Stojanović, A monolithically-integrated chip-to-chip optical link in bulk CMOS. IEEE J. Solid-State Circuits 50(4), 828–844 (2015)

    Article  ADS  Google Scholar 

  32. C. Sun, M. Wade, M. Georgas, S. Lin, L. Alloatti, B. Moss, R. Kumar, A.H. Atabaki, F. Pavanello, J.M. Shainline, J.S. Orcutt, R.J. Ram, M. Popović, V. Stojanović, A 45 nm CMOS-SOI monolithic photonics platform with bit-statistics-based resonant microring thermal tuning. IEEE J. Solid-State Circuits 51(4), 893–907 (2016), https://doi.org/10.1109/JSSC.2016.2519390

    Article  ADS  Google Scholar 

  33. K.T. Settaluri, S. Lin, S. Moazeni, E. Timurdogan, C. Sun, M. Moresco, Z. Su, Y.-H. Chen, G. Leake, D. LaTulipe, C. McDonough, J. Hebding, D. Coolbaugh, M. Watts, V. Stojanović, Demonstration of an optical chip-to-chip link in a 3D integrated electronic-photonic platform, in Proceedings of the European Solid-State Circuits Conference (2015), pp. 156–159

    Google Scholar 

  34. M. Rakowski, M. Pantouvaki, P.D. Heyn, P. Verheyen, M. Ingels, H. Chen, J.D. Coster, G. Lepage, B. Snyder, K.D. Meyer, M. Steyaert, N. Pavarelli, J.S. Lee, P. O’Brien, P. Absil, J.V. Campenhout, A 4 \(\times \) 20Gb/s WDM ring-based hybrid CMOS silicon photonics transceiver, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers (22–26 February 2015), pp. 1–3, https://doi.org/10.1109/ISSCC.2015.7063099

  35. A. Roshan-Zamir, B. Wang, S. Telaprolu, K. Yu, C. Li, M.A. Seyedi, M. Fiorentino, R. Beausoleil, S. Palermo, A 40 Gb/s PAM4 silicon microring resonator modulator transmitter in 65nm CMOS, in 2016 IEEE Optical Interconnects Conference (OI) (2016), pp. 8–9, https://doi.org/10.1109/OIC.2016.7483027

  36. C. Xiong, G. Douglas, J. Proesel, J. Orcutt, W. Haensch, W.M.J. Green, A monolithic 56 Gb/s CMOS integrated nanophotonic PAM-4 transmitter, in Optical Interconnects Conference (OI) (20–24 March 2015), pp. 16–17, https://doi.org/10.1109/OIC.2015.7115665]

  37. X. Wu, B. Dama, P. Gothoskar, P. Metz, K. Shastri, S. Sunder, J. Van der Spiegel, Y. Wang, M. Webster, W. Wilson, A 20Gb/s NRZ/PAM-4 1V transmitter in 40nm CMOS driving a Si-photonic modulator in 0.13\(\upmu \)m CMOS, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers (17–21 February 2013), pp. 128–129, https://doi.org/10.1109/ISSCC.2013.6487667

  38. L. Vivien, J. Osmond, J.-M. Fédéli, D. Marris-Morini, P. Crozat, J.-F. Damlencourt, E. Cassan, Y. Lecunff, S. Laval, 42 GHz pin germanium photodetector integrated in a silicon-on-insulator waveguide. Opt. Express 17(8), 6252–6257 (2009)

    Article  ADS  Google Scholar 

  39. E. Temporiti, G. Minoia, M. Repossi, D. Baldi, A. Ghilioni, F. Svelto, A 3D-integrated 25 Gbps silicon photonic receiver in PIC25G and 65 nm CMOS technologies, in Proceedings of the European Solid-State Circuits Conference (2014), pp. 131–134

    Google Scholar 

  40. T. Takemoto, H. Yamashita, T. Yazaki, N. Chujo, Y. Lee, Y. Matsuoka, A 25-to-28 Gb/s high-sensitivity (\(-\)9.7 dBm) 65 nm CMOS otical receiver for board-to-board interconnects. IEEE J. Solid-State Circuits 49(10), 2259–2276 (2014)

    Google Scholar 

  41. M.H. Nazari, A. Emami-Neyestanak, A 24-Gb/sdouble-sampling receiver for ultra-low-power optical communication. IEEE J. Solid-State Circuits 48(2), 344–357 (2013)

    Article  ADS  Google Scholar 

  42. S. Assefa, H. Pan, S. Shank, W.M.J. Green, A. Rylyakov, C. Schow, M. Khater, S. Kamlapurkar, E. Kiewra, C. Reinholm, T. Topuria, P. Rice, C. Baks, Y. Vlasov, Monolithically integrated silicon nanophotonics receiver in 90 nm CMOS technology node, in Proceedings of the Optical Fiber Communication Conference (2013), pp. 1–3

    Google Scholar 

  43. J.C. Huang, Y.S. Lai, K.Y.J. Hsu, Broadband transimpedance amplifier in 0.35 \(\upmu \)m SiGe BiCMOS technology for 10-Gb/s optical receiver front-end application, in IEEE Custom Integrated Circuits Conference (CICC) (2008), pp. 245–248

    Google Scholar 

  44. M. Atef, R. Swoboda, H. Zimmermann, An integrated optical receiver for 2.5 Gbit/s using 4-PAM signaling, in Proceedings of the International Conference on Microelectronics (2010), pp. 76–79

    Google Scholar 

  45. S.H. Huang, W.Z. Chen, A 25 Gb/s 1.13 pJ/b \(-\)10.8 dBm input sensitivity optical receiver in 40 nm CMOS. IEEE J. Solid-State Circuits 52(3), 747–756 (2017), https://doi.org/10.1109/JSSC.2016.2639534

    Article  ADS  Google Scholar 

  46. S. Saeedi, S. Menezo, G. Pares, A. Emami, A 25 Gb/s 3D-integrated CMOS/silicon-photonic receiver for low-power high-sensitivity optical communication. IEEE J. Lightwave Technol. 34(12), 2924–2933 (2016), https://doi.org/10.1109/JLT.2015.2494060

    Article  ADS  Google Scholar 

  47. J.E. Proesel, Z. Toprak-Deniz, A. Cevrero, I. Ozkaya, S. Kim, D.M. Kuchta, S. Lee, S.V. Rylov, H. Ainspan, T.O. Dickson, J.F. Bulzacchelli, M. Meghelli, A 32 Gb/s, 4.7 pJ/bit optical link with \(-\)11.7 dBm sensitivity in 14-nm FinFET CMOS. IEEE J. Solid-State Circuits 53(4), 1214–1226 (2018), https://doi.org/10.1109/JSSC.2017.2778280

    Article  ADS  Google Scholar 

  48. B. Moeneclaey, G. Kanakis, J. Verbrugghe, N. Iliadis, W. Soenen, D. Kalavrouziotis, C. Spatharakis, S. Dris, X. Yin, P. Bakopoulos, E. Mentovich, H. Avramopoulos, J. Bauwelinck, A 64 Gb/s PAM-4 linear optical receiver, in Optical Fiber Communication Conference (Optical Society of America, 2015), p. M3C.5, https://doi.org/10.1364/OFC.2015.M3C.5

  49. M. Atef, R. Swoboda, H. Zimmermann, An, integrated optical receiver for 2.5Gbit/s using 4-PAM signaling, in 2010 International Conference on Microelectronics (2010), pp. 76–79, https://doi.org/10.1109/ICM.2010.5696210

  50. J. Richardson, R. Walker, L. Grant, D. Stoppa, F. Borghetti, E. Charbon, M. Gersbach, R. Henderson, A 32 \( \times \) 32 50 ps resolution 10 bit time to digital converter array in 130 nm CMOS for time correlated imaging, in Proceedings of the IEEE Custom Integrated Circuits Conference (CICC) (2009), pp. 77–80

    Google Scholar 

  51. B. Behroozpouri, P.A.M. Sandborn, N. Quack, T.-J. Seok, Y. Matsui, M.C. Wu, B.E. Boser, Electronic-photonic integrated circuit for 3D microimaging. IEEE J. Solid-State Circuits 52(1), 161–172 (2017)

    Article  ADS  Google Scholar 

  52. N. Satyan, A. Vasilyev, G. Rakuljic, V. Leyva, A. Yariv, Precise control of broadband frequency chirps using optoelectronic feedback. Opt. Express 17(18), 15991–15999 (2009)

    Article  ADS  Google Scholar 

  53. F. Aflatoumi, B. Abiri, A. Rekhi, A. Hajimiri, Nanophotonic coherent imager. Opt. Express 23(4), 5117–5125 (2015)

    Article  ADS  Google Scholar 

  54. B. Behroozpouri, P.A.M. Sandborn, N. Quack, T.-J. Seok, Y. Matsui, M.C. Wu, B.E. Boser, Electronic-photonic integrated circuit for 3D microimaging, in IEEE International Solid-State Circuits Conference (2016), pp. 214–215

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Horst Zimmermann .

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Zimmermann, H. (2018). Circuits for Electronic-Photonic Integration. In: Silicon Optoelectronic Integrated Circuits. Springer Series in Advanced Microelectronics, vol 13. Springer, Cham. https://doi.org/10.1007/978-3-030-05822-7_7

Download citation

Publish with us

Policies and ethics