Skip to main content

Machine Learning in Physical Verification, Mask Synthesis, and Physical Design

  • Chapter
  • First Online:
Machine Learning in VLSI Computer-Aided Design

Abstract

Yield, turn-around time, and chip quality are always of significant concerns for VLSI designs. The performance and efficiency of key design steps such as physical design, mask synthesis, and physical verification are critical to guarantee fast design closure and manufacturability. Recent advances in machine learning provide various new opportunities and approaches to tackle these challenges. This chapter will discuss several applications of machine learning in the backend design flow and demonstrate its impacts to existing design automation methodology.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. W.T.J. Chan, Y. Du, A.B. Kahng, S. Nath, K. Samadi, Beol stack-aware routability prediction from placement using data mining techniques, in IEEE International Conference on Computer Design (ICCD) (2016), pp. 41–48

    Google Scholar 

  2. W.T.J. Chan, P.H. Ho, A.B. Kahng, P. Saxena, Routability optimization for industrial designs at sub-14nm process nodes using machine learning, in ACM International Symposium on Physical Design (ISPD) (2017), pp. 15–21

    Google Scholar 

  3. C.C. Chang, C.J. Lin, LIBSVM: a library for support vector machines. ACM Trans. Intell. Syst. Technol. 2, 27:1–27:27 (2011). Software available at http://www.csie.ntu.edu.tw/~cjlin/libsvm

  4. M. Cho, H. Xiang, H. Ren, M.M. Ziegler, R. Puri, LatchPlanner: latch placement algorithm for datapath-oriented high-performance VLSI designs, in IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2013), pp. 342–348

    Google Scholar 

  5. S. Chou, M.K. Hsu, Y.W. Chang, Structure-aware placement for datapath-intensive circuit designs, in ACM/IEEE Design Automation Conference (DAC) (2012), pp. 762–767

    Google Scholar 

  6. S. Chowdhury, Analytical approaches to the combinatorial optimization in linear placement problems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 8(6), 630–639 (1989)

    Article  Google Scholar 

  7. D. Ding, J.R. Gao, K. Yuan, D.Z. Pan, AENEID: a generic lithography-friendly detailed router based on post-RET data learning and hotspot detection, in ACM/IEEE Design Automation Conference (DAC) (2011), pp. 795–800

    Google Scholar 

  8. D. Ding, J.A. Torres, D.Z. Pan, High performance lithography hotspot detection with successively refined pattern identifications and machine learning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(11), 1621–1634 (2011)

    Article  Google Scholar 

  9. D. Ding, B. Yu, J. Ghosh, D.Z. Pan, Epic: efficient prediction of IC manufacturing hotspots with a unified meta-classification formulation, in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC) (2012), pp. 263–270

    Google Scholar 

  10. R.E. Fan, K.W. Chang, C.J. Hsieh, X.R. Wang, C.J. Lin, LIBLINEAR: a library for large linear classification. J. Mach. Learn. Res. 9(Aug), 1871–1874 (2008)

    MATH  Google Scholar 

  11. J.R. Gao, H. Jawandha, P. Atkar, A. Walimbe, B. Baidya, O. Rizzo, D.Z. Pan, Self-aligned double patterning compliant routing with in-design physical verification flow, in Proceedings of SPIE, vol. 8684 (2013), p. 868408

    Google Scholar 

  12. J.R. Gao, B. Yu, D.Z. Pan, Accurate lithography hotspot detection based on PCA-SVM classifier with hierarchical data clustering, in Proceedings of SPIE, vol. 9053 (2014), p. 90530E

    Google Scholar 

  13. I. Goodfellow, J. Pouget-Abadie, M. Mirza, B. Xu, D. Warde-Farley, S. Ozair, A. Courville, Y. Bengio, Generative adversarial nets, in Advances in Neural Information Processing Systems (2014), pp. 2672–2680

    Google Scholar 

  14. I. Goodfellow, Y. Bengio, A. Courville, Deep Learning (MIT press, Cambridge, 2016)

    MATH  Google Scholar 

  15. A. Gu, A. Zakhor, Optical proximity correction with linear regression. IEEE Trans. Semicond. Manuf. 21(2), 263–271 (2008)

    Article  Google Scholar 

  16. T. Hastie, R. Tibshirani, J. Friedman, J. Franklin, The elements of statistical learning: data mining, inference and prediction. Math. Intell. 27(2), 83–85 (2005)

    Google Scholar 

  17. S. Held, U. Schorr, Post-routing latch optimization for timing closure, in ACM/IEEE Design Automation Conference (DAC) (2014), pp. 7:1–7:6

    Google Scholar 

  18. N. Jia, E.Y. Lam, Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis. J. Opt. 12(4), 045601:1–045601:9 (2010)

    Google Scholar 

  19. J.H. Jun, M. Park, C. Park, H. Yang, D. Yim, M. Do, D. Lee, T. Kim, J. Choi, G. Luk-Pat, et al.: Layout optimization with assist features placement by model based rule tables for 2x node random contact, in Proceedings of SPIE (2015), p. 94270D

    Google Scholar 

  20. A.B. Kahng, C.H. Park, X. Xu, Fast dual graph based hotspot detection, in Proceedings of SPIE, vol. 6349 (2016), p. 63490H

    Google Scholar 

  21. B.S. Kim, Y.H. Kim, S.H. Lee, S.I. Kim, S.R. Ha, J. Kim, A. Tritchkov, Pixel-based SRAF implementation for 32nm lithography process, in Proceedings of SPIE (2008), p. 71220T

    Google Scholar 

  22. C. Kodama, T. Kotani, S. Nojima, S. Mimotogi, Sub-resolution assist feature arranging method and computer program product and manufacturing method of semiconductor device (2014). US Patent 8809072

    Google Scholar 

  23. S.Y. Lin, J.Y. Chen, J.C. Li, W.y. Wen, S.C. Chang, A novel fuzzy matching model for lithography hotspot detection, in ACM/IEEE Design Automation Conference (DAC) (2013), pp. 1–6

    Google Scholar 

  24. Y. Lin, X. Xu, J. Ou, D.Z. Pan, Machine learning for mask/wafer hotspot detection and mask synthesis, in Photomask Technology, vol. 10451 (International Society for Optics and Photonics, Bellingham, 2017), p. 104510A

    Google Scholar 

  25. Y. Lin, Y. Watanabe, T. Kimura, T. Matsunawa, S. Nojima, M. Li, D.Z. Pan, Data efficient lithography modeling with residual neural networks and transfer learning, in ACM International Symposium on Physical Design (ISPD) (2018), pp. 82–89

    Google Scholar 

  26. R. Luo, Optical proximity correction using a multilayer perceptron neural network. J. Opt. 15(7), 075708 (2013)

    Google Scholar 

  27. K.S. Luo, Z. Shi, X.L. Yan, Z. Geng, SVM based layout retargeting for fast and regularized inverse lithography. J. Zhejiang Univ. Sci. C 15(5), 390–400 (2014)

    Article  Google Scholar 

  28. T. Matsunawa, J.R. Gao, B. Yu, D.Z. Pan, A new lithography hotspot detection framework based on AdaBoost classifier and simplified feature extraction, in Proceedings of SPIE, vol. 9427 (2015)

    Google Scholar 

  29. T. Matsunawa, B. Yu, D.Z. Pan, Optical proximity correction with hierarchical Bayes model, in Proceedings of SPIE, vol. 9426 (2015)

    Google Scholar 

  30. T. Matsunawa, S. Nojima, T. Kotani, Automatic layout feature extraction for lithography hotspot detection based on deep neural network, in Proceedings of SPIE (2016)

    Google Scholar 

  31. T. Matsunawa, B. Yu, D.Z. Pan, Optical proximity correction with hierarchical Bayes model. J. Micro/Nanolithogr. MEMS MOEMS 15(2), 021009 (2016)

    Google Scholar 

  32. J. Mitra, P. Yu, D.Z. Pan, RADAR: RET-aware detailed routing using fast lithography simulations, in ACM/IEEE Design Automation Conference (DAC), pp. 369–372 (2005)

    Google Scholar 

  33. S. Miyama, K. Yamamoto, K. Koyama, Large-area optical proximity correction with a combination of rule-based and simulation-based methods. Jpn. J. Appl. Phys. 35(12S), 6370 (1996)

    Google Scholar 

  34. M.J. Moshkov, Time complexity of decision trees, in Transactions on Rough Sets III (Springer, Berlin, 2005), pp. 244–459

    Book  Google Scholar 

  35. L. Pang, Y. Liu, D. Abrams, Inverse lithography technology (ILT): a natural solution for model-based SRAF at 45nm and 32nm, in Proceedings of SPIE, p. 660739 (2007)

    Google Scholar 

  36. D. Papa, C. Alpert, C. Sze, Z. Li, N. Viswanathan, G.J. Nam, I.L. Markov, Physical synthesis with clock-network optimization for large systems on chips. IEEE Micro 31(4), 51–62 (2011)

    Article  Google Scholar 

  37. Y. Ping, S. McGowan, Y. Gong, Y.M. Foong, J. Liu, J. Qiu, V. Shu, B. Yan, J. Ye, P. Li, et al.: Process window enhancement using advanced ret techniques for 20nm contact layer, in Proceedings of SPIE, p. 90521N (2014)

    Google Scholar 

  38. K. Sakajiri, A. Tritchkov, Y. Granik, Model-based SRAF insertion through pixel-based mask optimization at 32nm and beyond, in Proceedings of SPIE, p. 702811 (2008)

    Google Scholar 

  39. S.D. Shang, L.Swallow, Y. Granik, Model-based SRAF insertion (2011). US Patent 8037429

    Google Scholar 

  40. M. Shin, J.H. Lee, Accurate lithography hotspot detection using deep convolutional neural networks. J. Micro/Nanolithogr. MEMS MOEMS 15(4), 043507 (2016)

    Google Scholar 

  41. N. Viswanathan, M. Pan, C. Chu, FastPlace 3.0: a fast multilevel quadratic placement algorithm with placement congestion control, in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC) (2007), pp. 135–140

    Google Scholar 

  42. R. Viswanathan, J.T. Azpiroz, P. Selvam, Process optimization through model based SRAF printing prediction, in Proceedings of SPIE (2012), p. 83261A

    Google Scholar 

  43. S.I. Ward, D.A. Papa, Z. Li, C.N. Sze, C.J. Alpert, E. Swartzlander, Quantifying academic placer performance on custom designs, in ACM International Symposium on Physical Design (ISPD) (2011), pp. 91–98

    Google Scholar 

  44. S. Ward, D. Ding, D.Z. Pan, PADE: a high-performance placer with automatic datapath extraction and evaluation through high dimensional data learning, in ACM/IEEE Design Automation Conference (DAC) (2012), pp. 756–761

    Google Scholar 

  45. S.I. Ward, N. Viswanathan, N.Y. Zhou, C.C. Sze, Z. Li, C.J. Alpert, D.Z. Pan, Clock power minimization using structured latch templates and decision tree induction, in IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2013), pp. 599–606

    Google Scholar 

  46. Y. Watanabe, T. Kimura, T. Matsunawa, S. Nojima, Accurate lithography simulation model based on convolutional neural networks, in Proceedings of SPIE, vol. 10147 (2017), p. 101470K

    Google Scholar 

  47. W.Y. Wen, J.C. Li, S.Y. Lin, J.Y. Chen, S.C. Chang, A fuzzy-matching model with grid reduction for lithography hotspot detection. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(11), 1671–1680 (2014)

    Article  Google Scholar 

  48. H. Xiang, M. Cho, H. Ren, M. Ziegler, R. Puri, Network flow based datapath bit slicing, in ACM International Symposium on Physical Design (ISPD) (2013), pp. 139–146

    Google Scholar 

  49. X. Xu, T. Matsunawa, S. Nojima, C. Kodama, T. Kotani, D.Z. Pan, A machine learning based framework for sub-resolution assist feature generation, in ACM International Symposium on Physical Design (ISPD) (2016), pp. 161–168

    Google Scholar 

  50. X. Xu, Y. Lin, M. Li, T. Matsunawa, S. Nojima, C. Kodama, T. Kotani, D.Z. Pan, Sub-resolution assist feature generation with supervised data learning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(6), 1225–1236 (2018)

    Article  Google Scholar 

  51. J. Yang, N. Rodriguez, O. Omedes, F. Gennari, Y.C. Lai, V. Mankad, DRCPlus in a router: automatic elimination of lithography hotspots using 2D pattern detection and correction. Proc. SPIE 7641, 76410Q (2010)

    Article  Google Scholar 

  52. H. Yang, Y. Lin, B. Yu, F.E. Young, Lithography hotspot detection: from shallow to deep learning, in IEEE International System-on-Chip Conference (SOCC) (2017), pp. 233–238

    Google Scholar 

  53. H. Yang, L. Luo, J. Su, C. Lin, B. Yu, Imbalance aware lithography hotspot detection: a deep learning approach. Proc. SPIE 16(3), 033504 (2017)

    Google Scholar 

  54. H. Yang, J. Su, Y. Zou, B. Yu, F.E. Young, Layout hotspot detection with feature tensor generation and deep biased learning, in ACM/IEEE Design Automation Conference (DAC) (2017), p. 62

    Google Scholar 

  55. J. Ye, Y. Cao, H. Feng, System and method for model-based sub-resolution assist feature generation (2011). US Patent 7882480

    Google Scholar 

  56. Y.T. Yu, Y.C. Chan, S. Sinha, I.H.R. Jiang, C. Chiang, Accurate process-hotspot detection using critical design rule extraction, in ACM/IEEE Design Automation Conference (DAC) (2012), pp. 1167–1172

    Google Scholar 

  57. Y.T. Yu, G.H. Lin, I.H.R. Jiang, C. Chiang, Machine learning based hotspot detection using topological classification and critical feature extraction, in ACM/IEEE Design Automation Conference (DAC) (2013), p. 67

    Google Scholar 

  58. B. Yu, D.Z. Pan, T. Matsunawa, X. Zeng, Machine learning and pattern matching in physical design, in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC) (2015), pp. 286–293

    Google Scholar 

  59. H. Zhang, B. Yu, Y.F. Evangeline, Enabling online learning in lithography hotspot detection with information-theoretic feature optimization, in IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2016), p. 47

    Google Scholar 

  60. H. Zhang, F. Zhu, H. Li, F.E. Young, B. Yu, Bilinear lithography hotspot detection, in ACM International Symposium on Physical Design (ISPD) (2017), pp. 7–14

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yibo Lin .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Lin, Y., Pan, D.Z. (2019). Machine Learning in Physical Verification, Mask Synthesis, and Physical Design. In: Elfadel, I., Boning, D., Li, X. (eds) Machine Learning in VLSI Computer-Aided Design. Springer, Cham. https://doi.org/10.1007/978-3-030-04666-8_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-04666-8_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-04665-1

  • Online ISBN: 978-3-030-04666-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics