Skip to main content

Integrated Floorplanning and Interconnect Planning

  • Chapter
Layout Optimization in VLSI Design

Part of the book series: Network Theory and Applications ((NETA,volume 8))

Abstract

When VLSI technology enters the deep sub-micron era, communication between different components is significantly increased. Interconnect delay also becomes the dominant factor in total circuit delay. All these make it necessary to start interconect planning as early as possible. In this chapter, we propose a method to combine interconnect planning with floorplanning. Our approach is based on the Wong-Liu floorplanning algorithm. When the positions, orientations, and shapes of the cells are decided, the pin positions and routing of the interconnects are decided as well. We use a multi-stage simulated annealing approach in which different interconnect planning methods are used in different ranges of temperatures to reduce running time. A temperature adjustment scheme is designed to give smooth transistions between different stages of simulated annealing. Experimental results show that our approach performs well.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. H.-M. Chen, H. Zhou, F.Y. Young, D.F. Wong, H.H. Yang, and N. Sherwani, Integrated Floorplanning and Interconnect Planning, IEEE International Conference on Computer-Aided Design, pp. 354–357, 1999.

    Google Scholar 

  2. H.-M. Chen, D.F. Wong, W.-K. Mak, and H.H. Yang, Faster and More Accurate Wiring Evaluation in Interconnect-Centric Floorplanning, ACM Eleventh Great Lakes Symposium on VLSI, pp. 62–67, 2001.

    Google Scholar 

  3. J. Cong, L. He, K. Y. Khoo, C. K. Kuh, and Z. Pan, Interconnect Design for Deep Submicron, IEEE International Conference on Computer-Aided Design, pp. 478–485, 1997.

    Google Scholar 

  4. P.-N. Guo, C.-K. Cheng, and T. Yoshimura, An 0-Tree Representation of Non-Slicing Floorplan and its Applications, ACM/IEEE Design Automaiton Conference, pp. 268–273, 1999.

    Google Scholar 

  5. H. Murata, K. Fujiyoushi, S. Nakatake, and Y. Kajitan, RectanglePacking-Based Module Placement, IEEE International Conference on Computer-Aided Design, pp. 472–479, 1995.

    Google Scholar 

  6. S. Nakatake, K. Fujiyoushi, H. Murata, Y. Kajitan, Module Placement on BSG-Structure and IC Layout Applications, IEEE International Conference on Computer-Aided Design, pp. 484–491, 1996.

    Google Scholar 

  7. Ralph H.J.M. Otten and Robert K. Brayto, Planning For Performance, ACM/IEEE Design Automaiton Conference, pp. 122–127, 1998.

    Google Scholar 

  8. R.H.J.M. Otten, Automatic Floorplan Design, ACM/IEEE Design Automaiton Conference, pp. 261–267, 1982.

    Google Scholar 

  9. B. Preas and W. VanCleemput, Placement Algorithms for Arbitrary Shaped Blocks, ACM/IEEE Design Automaiton Conference, pp. 474–480, 1979.

    Google Scholar 

  10. Naveed Sherwani, Algorithms for VLSI Physical Design Automation, ( Boston, Kluwer Academic Publisher, 1995 ).

    Book  MATH  Google Scholar 

  11. T. Tamanouchi and K. Tamakashi and T. Kambe, Hybrid Floorplanning Based on Partial Clustering and Module Restructuring, IEEE International Conference on Computer-Aided Design, pp. 478–483, 1996.

    Google Scholar 

  12. D.F. Wong and C.L. Liu, A New Algorithm for Floorplan Design, ACM/IEEE Design Automaiton Conference, pp. 101–107, 1986.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2001 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Chen, HM., Wong, M.D.F., Zhou, H., Young, FY., Yang, H.H., Sherwani, N. (2001). Integrated Floorplanning and Interconnect Planning. In: Lu, B., Du, DZ., Sapatnekar, S.S. (eds) Layout Optimization in VLSI Design. Network Theory and Applications, vol 8. Springer, Boston, MA. https://doi.org/10.1007/978-1-4757-3415-7_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4757-3415-7_1

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-5206-6

  • Online ISBN: 978-1-4757-3415-7

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics