Skip to main content
Log in

Synthesis of simulation and implementation code for OpenMAX multimedia heterogeneous systems from UML/MARTE models

  • Published:
Multimedia Tools and Applications Aims and scope Submit manuscript

Abstract

The design of multimedia systems is becoming a more and more challenging task due to the combination of growing functionalities and strict performance requirements along with reduced time-to-market. In this context, the OpenMAX initiative defines a standard interface for the development and interconnection of HW and SW multimedia components. However, the simulation and implementation steps required to obtain the final prototypes of such complex systems are still a challenge. To solve these problems, this paper presents a framework which enables automatic code generation from high-level UML/MARTE models. SystemC and VHDL codes are synthesized according to the OpenMAX specification requirements and they are integrated with the application SW, derived from task-based systems models. The generation of the SystemC executable specification enables easy simulation and verification of multimedia systems. After this verification stage, the framework automatically provides the VHDL code which feeds the final implementation and synthesis stage for the target platform. To demonstrate this approach, a SOBEL-based use case has been implemented with the developed framework.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16

Similar content being viewed by others

References

  1. Acceleo website. www.acceleo.org. Nov. 2010

  2. Adaptive Digital Technologies, Inc. Adaptive digital OpenMAX IL implementation. 2012. http://www.adaptativedigital.com

  3. Andersson P, Höst M UML and SystemC a comparison and mapping rules for automatic code generation. FDL’07

  4. Angstrom OpenMAX. http://omappedia.org/

  5. Barba J, de la Fuente D, Rincón F, Moya F, López JC (2010) Hardware native support for efficient multimedia embedded system. IEEE Trans Consum Electron 56. ISSN 0098–3063

  6. Barba J, Rincon F, Dondo JD, Moya F, Villanueva FJ, Villa D, Lopez JC (2007) OOCE: Object-Oriented Communication Engine for soc design. DSD-Euro-Micro Conference on Digital System Design. Lubeck (Germany)

  7. Bocchio S, Riccobene E, Rosti A, Scandurra P A SoC design flow based on UML 2.0 and SystemC. In: DAC, Workshop UML-Sock’05

  8. Bruschi F, Di Nitto E, Sciuto D SystemC code generation from UML models. Forum on Specification and Design Languages’02

  9. Cansell D, Culat JF, Méry D, Proch C (2004) Derivation of SystemC code from abstract system models. In: proc. of FDL’04. Lille. France

  10. de la Fuente D, Barba J, Rincón F, Dondo JD, López JC Embedded systems—high performance systems. pp 129–154. ISBN: 978-953-51-0350-9

  11. de la Fuente D, Barba J, Dondo J, Rincón F, López JC OpenMAX compliant heterogeneous multimedia embedded plaftorm. In DCIS’12

  12. Herrera F, Peñil P, Villar E, Ferrero F, Valencia R (2012) An embedded system modelling methodology for design space exploration. JCE

  13. IEEE Std. 1666–2011 (2012) IEEE Standard for Standard SystemC® Language Reference Manual. Available at http://standards.ieee.org/getieee/1666/download/1666-2011.pdf

  14. Kopetz H The complexity challenge in embedded system design. In: 11th IEEE ISORC

  15. Leite M, Vasconcellos CD, Wehrmeister MA (2014) Enhancing automatic generation of VHDL descriptions from UML/MARTE models. 12th IEEE International Conference on Industrial Informatics (INDIN)

  16. Lukas S Staff Engineer, QuIC, Inc. Accessing hardware-accelerated video codecs on Android™. UPLINQ Conference. June, 1–2, 2011. Manchester Grand Hyatt, San Diego CA

  17. Martin G, Bailey B, Piziali A (2007) ESL design and verification: a prescription for electronic system level methodology (systems on silicon). March 9, 2007. ISBN-10: 0123735513

  18. Monton M, Gladigau J, Haubelt C, Teich J (2010) Checkpoint and restore for SystemC models. In: Borrione D (ed) Advances in Design methods from modelling languages for embedded systems and SoCs. Springer. ISBN- 978-90-481-9304-2

  19. Müller W, Rosenstiel W, Ruf J (2003) SystemC, methodologies and applications. ISBN 1-4020-7479-4

  20. Muller W et al (2010) The SATURN approach to sysML-based HW/SW codesign. IEEE Annual Symposium on VLSI, ISVLSI

  21. Nicolás A, Peñil P, Posadas H, Villar E Automatic synthesis over multiple APIs from UML/MARTE models for easy platform mapping and reuse. DSD/SEAA Conference, 2014–08

  22. NVIDIA (2006) Demonstrates high definition processor. Las Vegas, Nevada

  23. NVIDIA Khronos Apps SDK (2010). http://www.nvidia.com

  24. OMG (2008) MOF model to text language

  25. OMG. MARTE Profile 1.1 website. http://www.omgmarte.org/. Nov 2014

  26. OMG. UML Testing Profile (UTP) 1.1 website http://utp.omg.org/. Nov 2014

  27. OpenMAX website. https://www.khronos.org/openmax/. Nov 2014

  28. Palojärvi J, Bergström T (2010) Maemo base port. Nokia Corporation

  29. Papyrus website. http://www.papyrusuml.org/

  30. Peñil P, Medina J, Posadas H, Villar E (2009) Generating heterogeneous executable specifications in SystemC from UML/MARTE models. UML-FM

  31. Piel E, Atitallah R, Marquet P, Meftali S, Niar S, Etien A, Dekeyser J-L, Boulet P (2008) Gaspard2: from MARTE to SystemC simulation. In: Proc. of the DATE’08 Workshop on Modeling and Analysis of Real-Time and Embedded Systems with the MARTE UML Profile

  32. Quadri IR, Yu H, Gamatié A, Rutten E, Meftali S, Dekeyser J-L (2010) Targeting reconfigurable FPGA based SoCs using the UML MARTE profile: from high abstraction levels to code generation. Int J Embed Syst

  33. Rintaluoma T, On2 Technologies. Optimizing H.264 decoder for Cortex-A8 with ARM NEON OpenMax DL implementation. pp 32–37. http://www.iqmagazineonline.com/Archive 27

  34. Schmidt DC (2006) Model-driven engineering. IEEE Comput 39(2):25–31

    Article  Google Scholar 

  35. SystemC website. http://www.accellera.org/. Nov 2014

  36. Szyperski C (2002) Component software: beyond object-oriented programming, 2nd ed. Addison-Wesley Professional

  37. The Institution of Electronics and Telecommunications Engineers (2011) IETE technical review. ISSN: 0256–4602

  38. The open SystemC initiative www.systemc.org

  39. TI software makes development easy for DM8168 and DM8148 DaVinci™ digital media processors. Technology for Innovators 2011. http://www.ti.com/

  40. UML website. http://www.omg.org/spec/UML/2.4/. February 2013

  41. Urlini G (2007) Bellagio OpenMAX component writer’s guide

  42. Vanderperren Y, Mueller W, Dehaene W (2008) UML for electronic systems design: a comprehensive overview. Des Autom Embed Syst 12(4)

  43. Vanderperren Y, Mueller W, Dehaene W (2008) UML for electronic systems design: a comprehensive overview. J Des Autom Embed Syst. Springer Verlag

  44. Vidal J, de Lamotte F, Gogniat G, Diguet JP, Soulard P (2010) UML design for dynamically reconfigurable multi processor embedded systems (DATE)

  45. VisualOn (2011) Enabling home entertainment and mobile multimedia. TI Technology Day. Taipei

  46. Yamashita K (2010) Possibility of ESL: a software centric system design for multicore SoC in the upstream phase. Design Automation Conference (ASP-DAC)

Download references

Acknowledgments

This research was supported by the Spanish Ministry of Economy and Competitiveness under the project REBECCA (TEC2014-58036-C4-1-R), and by European Regional Development Fund and Regional Government of Castilla-La Mancha under the project SAND (PEII11-0227-0070).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to D. de la Fuente.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

de la Fuente, D., Barba, J., López, J.C. et al. Synthesis of simulation and implementation code for OpenMAX multimedia heterogeneous systems from UML/MARTE models. Multimed Tools Appl 76, 8195–8226 (2017). https://doi.org/10.1007/s11042-016-3448-5

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11042-016-3448-5

Keywords

Navigation