Skip to main content
Log in

Buffer insertion for delay minimization in RLC interconnects using cuckoo optimization algorithm

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

In this paper, cuckoo optimization algorithm (COA) as an efficient evolutionary algorithm is used for buffer insertion in digital circuits. Application of the COA to real problems and some benchmark functions has proven its capability of dealing with difficult optimization problems and shown its superiority in fast convergence and global optima achievement. The aim of the work is to reduce the propagation delay in resistance–inductance–capacitance (RLC) interconnects. Furthermore, inductance effects on optimal number and size of buffer are investigated. This work is performed in two case studies. Buffer insertion in RLC lines is done in case study-I. Buffer insertion in tree structured inductive interconnects is dealt in case study-II. The COA results show the considerable reduction in the propagation delay. Maximum percentage of reduction in delay is 59.78% for line, 67.67% for balanced tree and 63.75% for unbalanced tree respectively. Also, optimal area (size and number of buffers) is another result of paper that can lead to economical number of chips.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1

Reproduced with permission from [15]

Fig. 2

Reproduced with permission from [15]

Fig. 3

Reproduced with permission from [15]

Fig. 4

Reproduced with permission from [1]

Fig. 5

Reproduced with permission from [1]

Fig. 6
Fig. 7
Fig. 8

Reproduced with permission from [22, 23]

Fig. 9

Reproduced with permission from [22, 23]

Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

References

  1. Ismail, Y. I., & Friedman, E. G. (2000). Effects of inductance on the propagation delay and repeater insertion in VLSI circuits. IEEE Transactions on Very Large Scale Integration Systems, 8(2), 195–206.

    Article  Google Scholar 

  2. Alpert, C. J., Devgan, A., & Quay, S. T. (1999). Buffer insertion for noise and delay optimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 18(11), 1633–1645.

    Article  Google Scholar 

  3. Chu, C., Wong, D. F. (1997). A new approach to simultaneous buffer insertion and wire sizing. In Proceedings IEEE/ACM international conference on computer-aided design (pp. 614–621).

  4. Ismail, Y. I., & Friedman, E. G. (2001). Repeater insertion in tree structured inductive interconnect. IEEE Transactions on Circuits and Systems, 48(5), 471–481.

    Article  Google Scholar 

  5. Chu, C., & Wong, D. F. (2001). Closed form solution to simultaneous buffer insertion/sizing and wire sizing. ACM Transactions on Design Automation of Electronic Systems, 6, 343–371.

    Article  Google Scholar 

  6. Karimi, G., & Ahmadi, A. (2014). Buffer insertion for delay minimization using an improved PSO algorithm. Applied Mathematics & Information Sciences, 8(5), 2277–2285.

    Article  Google Scholar 

  7. Van Ginneken, L. P. P. P. (1990). Buffer placement in distributed RC-tree networks for minimal elmore delay. In Proceedings of the IEEE international symposium on circuits and systems (Vol. 2, pp. 865–868).

  8. Ismail, Y. I., Friedman, E. G. (1998). Optimum repeater insertion based on a CMOS delay model for on-chip RLC interconnect. In Proceedings of the eleventh annual IEEE international ASIC conference (pp. 369–373).

  9. Vural, R. A., Der, O., & Yildrim, T. (2011). Investigation of particle swarm optimization for switching charachterization of inverter design. Expert Systems with Applications, 38(5), 5696–5703.

    Article  Google Scholar 

  10. Valian, E., Mohanna, S., & Tavakoli, S. (2011). Improved cuckoo search algorithm for global optimization. International Journal of Communication and Information Technology, 1(1), 31–44.

    MATH  Google Scholar 

  11. Vural, R. A., Der, O., & Yildrim, T. (2010). Particle swarm optimization based inverter design considering transient performance. Digital Signal Processing, 20(4), 1215–1220.

    Article  Google Scholar 

  12. Moore, P., & Venayagamoorty, G. K. (2006). Evolving digital circuits using hybrid particle swarm optimization and differential evolution. International Journal of Neural Systems, 16(3), 163–177.

    Article  Google Scholar 

  13. Yang, X. S., Deb, S. (2009). Cuckoo search via lévy flights. In World congress on nature & biologically inspired computing (NaBIC2009) (pp. 210–214). IEEE Publications.

  14. Valian, E., Tavakoli, S., Mohanna, S., & Haghi, A. (2013). Improved cuckoo search for reliability optimization problems. Computers & Industrial Engineering, 64(1), 459–468.

    Article  Google Scholar 

  15. Rajabioun, R. (2011). Cuckoo optimization algorithm. Applied Soft Computing, 11(8), 5508–5518.

    Article  Google Scholar 

  16. Mellal, M. A., & Williams, E. J. (2015). Cuckoo optimization algorithm for unit production cost in multi-pass turning operations. International Journal of Advanced Manufacturing Technology, 76(1–4), 647–656.

    Article  Google Scholar 

  17. Abdel-Basset, M., Hessin, A. N., & Abdel-Fatah, L. (2018). A comprehensive study of cuckoo-inspired algorithms. Neural Computing and Applications, 29(2), 345–361.

    Article  Google Scholar 

  18. Rabaey, J. M. (2003). Digital integrated circuits: A Design perspective (pp. 177–178). California: PHI Learning.

    Google Scholar 

  19. Dhiman, R., & Chandel, R. (2017). Delay analysis of buffer inserted sub-threshold interconnects. Analog Integrated Circuits and Signal Processing, 90(2), 345–445.

    Article  Google Scholar 

  20. Banerjee, K., & Mehrotra, A. (2002). Analysis of on-chip inductance effects for distributed RLC interconnects. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 21(8), 904–915.

    Article  Google Scholar 

  21. Deutsch, A., Kopcsay, G. V., Restle, P. J., et al. (1997). When are transmission-line effects important for on-chip interconnections? IEEE Transactions on Microwave Theory and Techniques, 45(10), 1836–1846.

    Article  Google Scholar 

  22. Ismail, Y. I., Friedman, E. G., & Neves, J. L. (2000). Equivalent elmore delay for RLC trees. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 19(1), 83–97.

    Article  Google Scholar 

  23. Wang, S. L., Chong, Y. W. (2005). Delay modeling for buffered RLY/RLC trees. In Proceedings of the IEEE VLSI-TSA international symposium on VLSI design, automation and test (pp. 237–240).

  24. El-Mousry, M. A., & Friedman, E. G. (2007). Wire shaping of RLC interconnects. Integration, the VlSI Journal, 40(4), 461–472.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Gholamreza Karimi.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Karimi, G., Moradi, Y. Buffer insertion for delay minimization in RLC interconnects using cuckoo optimization algorithm. Analog Integr Circ Sig Process 99, 111–121 (2019). https://doi.org/10.1007/s10470-018-1318-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-018-1318-y

Keywords

Navigation