Skip to main content
Log in

Fault-tolerant design techniques in A CMP architecture

  • Trusted Computing Architecture
  • Published:
Wuhan University Journal of Natural Sciences

Abstract

Single-chip multiprocessor (CMP) combined with the fault-tolerant (FT) techniques offers an ideal architecture to achieve high availability on the basis of sustaining high computing performance. FT design of a single-chip multiprocessor is described, including the techniques from hardware redundancy to software support and firmware strategy. The design aims at masking the influences of errors and automatically correcting the system states.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Constantinescu C. Trends and Challenges in VLSI Circuit Reliability.IEEE Micro, 2003,23(4): 14–19.

    Article  Google Scholar 

  2. Hammond L, Nayfeh B A, Olukotun K. A Single-Chip Mutiprocessor.IEEE Computer, 1997,30(9): 79–85.

    Google Scholar 

  3. Krishnan V, Torrellas J. A Chip-Multiprocessor Architecture with Speculative Multithreading.IEEE Trans on Computer, 1999,48(9): 866–880.

    Article  Google Scholar 

  4. Flynn M J. Deep Submicron Microprocessor Design Issues.IEEE Micro, 1999,19(4): 11–12.

    Article  MathSciNet  Google Scholar 

  5. Lee Sang-Won, Song Yun-Seob,et al. Raptor: A Single Chip Multiprocessor.The First IEEE Asia Pacific Conference on ASIC. Seoul, Korea. 1999. 217–220.

  6. Codrescu L, Wills S D, Meindl J. Architecture of Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications.IEEE Trans on Computers, 2001,50(1): 67–82.

    Article  Google Scholar 

  7. Nickolls J, Madar III L J. Calisto: A Low-Power Single-Chip Multiprocessor Communications Platform.IEEE Micro, 2003,23(4): 29–43.

    Article  Google Scholar 

  8. Bierman G J.Factorization Methods for Discrete Sequential Estimation. New York: Academic Press, 1972.

    Google Scholar 

  9. Bossen D C, Kitamorn A, Reick K F,et al. FT Design of the IBM pSeries 690 System Using POWER4 Processor Technology.IBM J RES & DEV, 2002,46(1): 77–86.

    Article  Google Scholar 

  10. Bossen D C, Tendler J M, Reick K. POWER4 System Design for High Reliability.IEEE Micro. 2002,22(2): 16–24.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Additional information

Foundation item: Supported by the National High Technology Development 863 Program of China(2002AA1Z030) and China Postdoctoral Science Foundation(2003034151)

Biography: YAO Wen-bin (1972-), male, Postdoctor, research direction: fault-tolerant computing and computer architecture.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wen-bin, Y., Dong-sheng, W. Fault-tolerant design techniques in A CMP architecture. Wuhan Univ. J. Nat. Sci. 10, 5–8 (2005). https://doi.org/10.1007/BF02828605

Download citation

  • Received:

  • Issue Date:

  • DOI: https://doi.org/10.1007/BF02828605

Key words

CLC number

Navigation