Skip to main content

Introduction to Micro-/Nanofabrication

  • Chapter
Springer Handbook of Nanotechnology

Part of the book series: Springer Handbooks ((SHB))

Abstract

This chapter outlines and discusses important micro- and nanofabrication techniques. We start with the most basic methods borrowed from the integrated circuit (IC) industry, such as thin-film deposition, lithography and etching, and then move on to look at microelectromechanical systems (MEMS) and nanofabrication technologies. We cover a broad range of dimensions, from the micron to the nanometer scale. Although most of the current research is geared towards the nanodomain, a good understanding of top-down methods for fabricating micron-sized objects can aid our understanding of this research. Due to space constraints, we focus here on the most important technologies; in the microdomain these include surface, bulk, and high-aspect-ratio micromachining; in the nanodomain we concentrate on e-beam lithography, epitaxial growth, template manufacturing, and self-assembly. MEMS technology is maturing rapidly, with some new technologies displacing older ones that have proven to be unsuited to manufacture on a commercial scale. However, the jury is still out on methods used in the nanodomain, although it appears that bottom-up methods are the most feasible, and these will have a major impact in a variety of application areas such as biology, medicine, environmental monitoring, and nanoelectronics.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 309.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Abbreviations

AFM:

atomic force microscope

AFM:

atomic force microscopy

ALD:

atomic layer deposition

BHF:

buffered HF

BiCMOS:

bipolar CMOS

CMOS:

complementary metal–oxide–semiconductor

CVD:

chemical vapor deposition

DC:

direct-current

DMD:

deformable mirror display

DMD:

digital mirror device

DNA:

deoxyribonucleic acid

DPN:

dip-pen nanolithography

DRIE:

deep reactive ion etching

EDP:

ethylene diamine pyrochatechol

EUV:

extreme ultraviolet

HARPSS:

high-aspect-ratio combined poly- and single-crystal silicon

HEXSIL:

hexagonal honeycomb polysilicon

HMDS:

hexamethyldisilazane

HOPG:

highly oriented pyrolytic graphite

IC:

integrated circuit

LIGA:

Lithographie Galvanoformung Abformung

LPCVD:

low-pressure chemical vapor deposition

LTO:

low-temperature oxide

MBE:

molecular-beam epitaxy

MEMS:

microelectromechanical system

MOCVD:

metalorganic chemical vapor deposition

OTS:

octadecyltrichlorosilane

PDMS:

polydimethylsiloxane

PECVD:

plasma-enhanced chemical vapor deposition

PLD:

pulsed laser deposition

PMMA:

poly(methyl methacrylate)

PSG:

phosphosilicate glass

PZT:

lead zirconate titanate

RCA:

Radio Corporation of America

RF:

radiofrequency

RHEED:

reflection high-energy electron diffraction

RIE:

reactive-ion etching

SAM:

scanning acoustic microscopy

SAM:

self-assembled monolayer

SCREAM:

single-crystal reactive etching and metallization

SEM:

scanning electron microscope

SEM:

scanning electron microscopy

SLIGA:

sacrificial LIGA

SOI:

silicon-on-insulator

SPM:

scanning probe microscope

SPM:

scanning probe microscopy

STM:

scanning tunneling microscope

STM:

scanning tunneling microscopy

SoS:

silicon-on-sapphire

TASA:

template-assisted self-assembly

TEM:

transmission electron microscope

TEM:

transmission electron microscopy

TI:

Texas Instruments

TMAH:

tetramethyl ammonium hydroxide

UV:

ultraviolet

VLSI:

very large-scale integration

VPE:

vapor-phase epitaxy

fcc:

face-centered cubic

hcp:

hexagonal close-packed

References

  1. S.A. Campbell: The Science and Engineering of Microelectronic Fabrication (Oxford Univ. Press, New York 2001)

    Google Scholar 

  2. C.J. Jaeger: Introduction to Microelectronic Fabrication (Prentice Hall, New Jersey 2002)

    Google Scholar 

  3. J.D. Plummer, M.D. Deal, P.B. Griffin: Silicon VLSI Technology (Prentice-Hall, New Jersey 2000)

    Google Scholar 

  4. J.E. Bjorkholm: EUV lithography: the successor to optical lithography, Intel Technol. J. 2, 1–8 (1998)

    Google Scholar 

  5. S. Owa, H. Nagasaka: Advantage and feasibility of immersion lithography, J. Microlithogr. Microfabr. Microsyst. 3, 97–103 (2004)

    Article  Google Scholar 

  6. H.U. Krebs, M. Störmer, J. Faupel, E. Süske, T. Scharf, C. Fuhse, N. Seibt, H. Kijewski, D. Nelke, E. Panchenko, M. Buback: Pulsed laser deposition (PLD) – A versatile thin film technique, Adv. Solid State Phys. 43, 505–517 (2003)

    Article  Google Scholar 

  7. M. Leskela, M. Ritala: Atomic layer deposition chemistry: recent developments and future challenges, Angew. Chem. Int. Ed. 42, 5548–5554 (2003)

    Article  Google Scholar 

  8. J.L. Vossen: Thin Film Processes (Academic, New York 1976)

    Google Scholar 

  9. M. Gad-el-Hak (Ed.): The MEMS Handbook (CRC, Boca Raton 2002)

    MATH  Google Scholar 

  10. T.-R. Hsu: MEMS and Microsystems Design and Manufacture (McGraw Hill, New York 2002)

    Google Scholar 

  11. G.T.A. Kovacs: Micromachined Transducers Sourcebook (McGraw Hill, New York 1998)

    Google Scholar 

  12. P. Rai-Choudhury (Ed.): Handbook of Microlithography, Micromachining and Microfabrication. Vol. 2: Micromachining and Microfabrication (SPIE/IEE, Bellingham, Washington/London 1997)

    Google Scholar 

  13. M.F. Aimi, M.P. Rao, N.C. MacDonald, A.S. Zuruzi, D.P. Bothman: High-aspect-ratio bulk micromachining of titanium, Nat. Mater. 3, 103–105 (2004)

    Article  Google Scholar 

  14. T.J. Cotler, M.E. Elta: Plasma-etch technology, IEEE Circuits Devices Mag. 6, 38–43 (1990)

    Article  Google Scholar 

  15. U. Gosele, Q.Y. Tong: Semiconductor wafer bonding, Annu. Rev. Mater. Sci. 28, 215–241 (1998)

    Article  Google Scholar 

  16. Q.Y. Tong, U. Gosele: Semiconductor Wafer Bonding: Science and Technology (Wiley, New York 1999)

    Google Scholar 

  17. F. Niklaus, P. Enoksson, E. Kalveston, G. Stemme: Void-free full-wafer adhesive bonding, J. Micromech. Microeng. 11, 100–107 (2000)

    Article  Google Scholar 

  18. C.A. Harper: Electronic Packaging and Interconnection Handbook (McGraw-Hill, New York 2000)

    Google Scholar 

  19. W.H. Ko, J.T. Suminto, G.J. Yeh: Bonding techniques for microsensors. In: Micromachining and Micropackaging for Transducers, ed. by W.H. Ko (Elsevier, Amsterdam 1985)

    Google Scholar 

  20. G.T.A. Kovacs, N.I. Maluf, K.A. Petersen: Bulk micromachining of silicon, Proc. IEEE 86(8), 1536–1551 (1998)

    Article  Google Scholar 

  21. K. Najafi, K.D. Wise, T. Mochizuki: A high-yield IC-compatible multichannel recording array, IEEE Trans. Electron Devices 32, 1206–1211 (1985)

    Article  Google Scholar 

  22. A. Selvakumar, K. Najafi: A high-sensitivity z-axis capacitive silicon microaccelerometer with a tortional suspension, J. Microelectromech. Syst. 7, 192–200 (1998)

    Article  Google Scholar 

  23. H. Baltes, O. Paul, O. Brand: Micromachined thermally based CMOS microsensors, Proc. IEEE 86(8), 1660–1678 (1998)

    Article  Google Scholar 

  24. N.C. MacDonald: SCREAM MicroElectroMechanical Systems, Microelectron. Eng. 32, 51–55 (1996)

    Article  Google Scholar 

  25. B. Eyre, K.S.J. Pister, W. Gekelman: Multi-axis microcoil sensors in standard CMOS, Proc. SPIE Conf. Micromach. Devices Compon., Austin (1995) pp. 183–191

    Google Scholar 

  26. K.A. Shaw, Z.L. Zhang, N.C. MacDonnald: SCREAM: A single mask, single-crystal silicon process for microelectromechanical structures, Proc. IEEE Workshop Micro Electro Mech. Syst., Fort Lauderdale (1993) pp. 155–160

    Google Scholar 

  27. G.K. Fedder, S. Santhanam, M.L. Reed, S.C. Eagle, D.F. Guillo, M.S.C. Lu, L.R. Carley: Laminated high-aspect-ratio microstructures in a conventional CMOS process, Proc. IEEE Workshop Micro Electro Mech. Syst., San Diego (1996) pp. 13–18

    Google Scholar 

  28. B.P. Van Drieenhuizen, N.I. Maluf, I.E. Opris, G.T.A. Kovacs: Force-balanced accelerometer with mG resolution fabricated using silicon fusion bonding and deep reactive ion etching, Proc. Int. Conf. Solid-State Sens. Actuators, Chicago (1997) pp. 1229–1230

    Google Scholar 

  29. X. Huikai, L. Erdmann, Z. Xu, K.J. Gabriel, G.K. Fedder: Post-CMOS processing for high-aspect-ratio integrated silicon microstructures, J. Microelectromech. Syst. 11, 93–101 (2002)

    Article  Google Scholar 

  30. J.M. Bustillo, R.S. Muller: Surface micromachining for microelectromechanical systems, Proc. IEEE 86(8), 1552–1574 (1998)

    Article  Google Scholar 

  31. H.C. Nathanson, W.E. Newell, R.A. Wickstrom, J.R. Davis: The resonant gate transistor, IEEE Trans. Electron Devices 14, 117–133 (1967)

    Article  Google Scholar 

  32. R.T. Howe, R.S. Muller: Polycrystalline silicon micromechanical beams, Proc. Electrochem. Soc. Spring Meet., Montreal (1982) pp. 184–185

    Google Scholar 

  33. J.A. Geen, S.J. Sherman, J.F. Chang, S.R. Lewis: Single-chip surface-micromachined integrated gyroscope with 50 °/h root Allan deviation, IEEE J. Solid-State Circuits 37, 1860–1866 (2002)

    Article  Google Scholar 

  34. P.F. Van Kessel, L.J. Hornbeck, R.E. Meier, M.R. Douglass: A MEMS-based projection display, Proc. IEEE 86(8), 1687–1704 (1998)

    Article  Google Scholar 

  35. A.E. Franke, D. Bilic, D.T. Chang, P.T. Jones, R.T. Howe, G.C. Johnson: Post-CMOS integration of germanium microstructures, Proc. Micro Electro Mech. Syst., Orlando (1999) pp. 630–637

    Google Scholar 

  36. S. Sedky, P. Fiorini, M. Caymax, S. Loreti, K. Baert, L. Hermans, R. Mertens: Structural and mechanical properties of polycrystalline silicon germanium for micromachining applications, J. Microelectromech. Syst. 7, 365–372 (1998)

    Article  Google Scholar 

  37. N. Tas, T. Sonnenberg, H. Jansen, R. Legtenberg, M. Elwenspoek: Stiction in surface micromachining, J. Micromech. Microeng. 6, 385–397 (1996)

    Article  Google Scholar 

  38. R. Maboudian, R.T. Howe: Critical review: Adhesion in surface micromechanical structures, J. Vac. Sci. Technol. B 15(1), 1–20 (1997)

    Article  Google Scholar 

  39. J.H. Smith, S. Montague, J.J. Sniegowski, J.R. Murray, P.J. McWhorter: Embedded micromechanical devices for the monolithic integration of MEMS with CMOS, Proc. Int. Electron Devices Meet., Washington (1995) pp. 609–612

    Google Scholar 

  40. R.S. Muller, K.Y. Lau: Surface-micromachined microoptical elements and systems, Proc. IEEE 86(8), 1705–1720 (1998)

    Article  Google Scholar 

  41. K.S.J. Pister, M.W. Judy, S.R. Burgett, R.S. Fearing: Microfabricated hinges: 1 mm vertical features with surface micromachining, Proc. 6th Int. Conf. Solid-State Sens. Actuators, San Francisco (1991) pp. 647–650

    Google Scholar 

  42. L.Y. Lin, S.S. Lee, M.C. Wu, K.S.J. Pister: Micromachined Integrated optics for free space interconnection, Proc. IEEE Micro Electro Mech. Syst. Workshop, Amsterdam (1995) pp. 77–82

    Google Scholar 

  43. E.W. Becker, W. Ehrfeld, P. Hagmann, A. Maner, D. Munchmeyer: Fabrication of microstructures with high aspect ratios and great structural heights by synchrotron radiation lithography, galvanoforming, and plastic molding (LIGA process), Microelectron. Eng. 4, 35–56 (1986)

    Article  Google Scholar 

  44. H. Guckel: High-aspect-ratio micromachining via deep x-ray lithography, Proc. IEEE 86(8), 1586–1593 (1998)

    Article  Google Scholar 

  45. K.Y. Lee, N. LaBianca, S.A. Rishton, S. Zolgharnain, J.D. Gelorme, J. Shaw, T.H.P. Chang: Micromachining applications of a high resolution ultra-thick photoresist, J. Vac. Sci. Technol. B 13, 3012–3016 (1995)

    Article  Google Scholar 

  46. K. Roberts, F. Williamson, G. Cibuzar, L. Thomas: The fabrication of an array of microcavities utilizing SU-8 photoresist as an alternative `LIGAʼ technology, Proc. 13th Bienn. Univ./Gov./Ind. Microelectron. Symp. (IEEE), Minneapolis (1999) pp. 139–141

    Google Scholar 

  47. D.A. Horsley, M.B. Cohn, A. Singh, R. Horowitz, A.P. Pisano: Design and fabrication of an angular microactuator for magnetic disk drives, J. Microelectromech. Syst. 7, 141–148 (1998)

    Article  Google Scholar 

  48. C. Burbaum, J. Mohr, P. Bley, W. Ehrfeld: Fabrication of capacitive acceleration sensors by the LIGA technique, Sens. Actuators A Phys. A27, 559–563 (1991)

    Article  Google Scholar 

  49. C.G. Keller, R.T. Howe: Hexsil bimorphs for vertical actuation, Dig. Tech. Pap. 8th Int. Conf. Solid-State Sens. Actuators Eurosens. IX, Stockholm (1995) pp. 99–102

    Google Scholar 

  50. C.G. Keller, R.T. Howe: Nickel-filled hexsil thermally actuated tweezers, Dig. Tech. Pap. 8th Int. Conf. Solid-State Sens. Actuators Eurosens. IX, Stockholm (1995) pp. 376–379

    Google Scholar 

  51. N. Yazdi, F. Ayazi, K. Najafi: Micromachined inertial sensors, Proc. IEEE 86, 1640–1659 (1998)

    Article  Google Scholar 

  52. F. Ayazi, K. Najafi: High aspect-ratio combined poly and single-crystal silicon (HARPSS) MEMS technology, J. Microelectromech. Syst. 9, 288–294 (1998)

    Article  Google Scholar 

  53. F. Ayazi, K. Najafi: A HARPSS polysilicon vibrating ring gyroscope, J. Microelectromech. Syst. 10, 169–179 (2001)

    Article  Google Scholar 

  54. Y.S. No, F. Ayazi: The HARPSS process for fabrication of nano-precision silicon electromechanical resonators, Proc. 2001 1st IEEE Conf. Nanotechnol., Maui (2001) pp. 489–494

    Google Scholar 

  55. G. Timp: Nanotechnology (Springer, New York 1998)

    Google Scholar 

  56. P. Rai-Choudhury (Ed.): Handbook of Microlithography, Micromachining and Microfabrication. Vol. 1: Microlithography (SPIE/IEE, Bellingham, Washington/London 1997)

    Google Scholar 

  57. L. Ming, C. Bao-qin, Y. Tian-Chun, Q. He, X. Qiuxia: The sub-micron fabrication technology, Proc. 6th Int. Conf. Solid-State Integr.-Circuit Technol., San Francisco, Vol. 1 (2001) pp. 452–455

    Google Scholar 

  58. M.A. Herman: Molecular Beam Epitaxy: Fundamentals and Current Status (Springer, New York 1996)

    Book  Google Scholar 

  59. J.S. Frood, G.J. Davis, W.T. Tsang: Chemical Beam Epitaxy and Related Techniques (Wiley, New York 1997)

    Google Scholar 

  60. S. Mahajan, K.S. Sree Harsha: Principles of Growth and Processing of Semiconductors (McGraw Hill, New York 1999)

    Google Scholar 

  61. S. Kim, M. Razegi: Advances in quantum dot structures. In: Processing and Properties of Compound Semiconductors, ed. by K. Willardson, E.R. Weber (Academic, New York 2001)

    Google Scholar 

  62. D. Bimberg, M. Grundmann, N.N. Ledentsov: Quantum Dot Heterostructures (Wiley, New York 1999)

    Google Scholar 

  63. G. Seebohm, H.G. Craighead: Lithography and patterning for nanostructure fabrication. In: Quantum Semiconductor Devices and Technologies, ed. by T.P. Pearsall (Kluwer, Boston 2000)

    Google Scholar 

  64. E. Kapon: Lateral patterning of quantum well heterostructures by growth on nonplanar substrates. In: Epitaxial Microstructures, ed. by A.C. Gossard (Academic, New York 1994)

    Google Scholar 

  65. F. Guffarth, R. Heitz, A. Schliwa, O. Stier, N.N. Ledentsov, A.R. Kovsh, V.M. Ustinov, D. Bimberg: Strain engineering of self-organized InAs quantum dots, Phys. Rev. B 64, 085305–1–085305–7 (2001)

    Article  Google Scholar 

  66. M. Sugawara: Self-Assembled InGaAs/GaAs Quantum Dots (Academic, New York 1999)

    Google Scholar 

  67. B.C. Lee, S.D. Lin, C.P. Lee, H.M. Lee, J.C. Wu, K.W. Sun: Selective growth of single InAs quantum dots using strain engineering, Appl. Phys. Lett. 80, 326–328 (2002)

    Article  Google Scholar 

  68. K. Brunner: Si/Ge nanostructures, Rep. Prog. Phys. 65, 27–72 (2002)

    Article  Google Scholar 

  69. F.S.S. Chien, W.F. Hsieh, S. Gwo, A.E. Vladar, J.A. Dagata: Silicon nanostructures fabricated by scanning-probe oxidation and tetra-methyl ammonium hydroxide etching, J. Appl. Phys. 91, 10044–10050 (2002)

    Article  Google Scholar 

  70. M. Calleja, J. Anguita, R. Garcia, K. Birkelund, F. Perez-Murano, J.A. Dagata: Nanometer-scale oxidation of silicon surfaces by dynamic force microscopy: reproducibility, kinetics and nanofabrication, Nanotechnology 10, 34–38 (1999)

    Article  Google Scholar 

  71. E.S. Snow, P.M. Campbell, F.K. Perkins: Nanofabrication with proximal probes, Proc. IEEE 85, 601–611 (1997)

    Article  Google Scholar 

  72. H. Sugimura, T. Uchida, N. Kitamura, H. Masuhara: Tip-induced anodization of titanium surfaces by scanning tunneling microscopy: A humidity effect on nanolithography, Appl. Phys. Lett. 63, 1288–1290 (1993)

    Article  Google Scholar 

  73. N. Kramer, J. Jorritsma, H. Birk, C. Schonenberger: Nanometer lithography on silicon and hydrogenated amorphous silicon with low energy electrons, J. Vac. Sci. Technol. B 13, 805–811 (1995)

    Article  Google Scholar 

  74. H.T. Soh, K.W. Guarini, C.F. Quate: Scanning Probe Lithography (Kluwer Academic, Boston 2001)

    Book  Google Scholar 

  75. C.A. Mirkin: Dip-pen nanolithography: automated fabrication of custom multicomponent, sub-100-nanometer surface architectures, MRS Bulletin 26, 535–538 (2001)

    Article  Google Scholar 

  76. P.E. Sheehan, L.J. Whitman, W.P. King, B.A. Nelson: Nanoscale deposition of solid inks via thermal dip pen nanolithography, Appl. Phys. Lett. 85, 1589–1591 (2004)

    Article  Google Scholar 

  77. L.L. Sohn, R.L. Willett: Fabrication of nanostructures using atomic-force-microscope-based lithography, Appl. Phys. Lett. 67, 1552–1554 (1995)

    Article  Google Scholar 

  78. H.J. Mamin, B.D. Terris, L.S. Fan, S. Hoen, R.C. Barrett, D. Rugar: High-density data storage using proximal probe techniques, IBM J. Res. Dev. 39, 681–699 (1995)

    Article  Google Scholar 

  79. K. Bessho, S. Hashimoto: Fabricating nanoscale structures on Au surface with scanning tunneling microscope, Appl. Phys. Lett. 65, 2142–2144 (1994)

    Article  Google Scholar 

  80. I.W. Lyo, P. Avouris: Field-induced nanometer- to atomic-scale manipulation of silicon surfaces with the STM, Science 253, 173–176 (1991)

    Article  Google Scholar 

  81. M.F. Crommie, C.P. Lutz, D.M. Eigler: Confinement of electrons to quantum corrals on a metal surface, Science 262, 218–220 (1993)

    Article  Google Scholar 

  82. A. de Lozanne: Pattern generation below 0.1 micron by localized chemical vapor deposition with the scanning tunneling microscope, Jpn. J. Appl. Phys. 33, 7090–7093 (1994)

    Article  Google Scholar 

  83. L.A. Nagahara, T. Thundat, S.M. Lindsay: Nanolithography on semiconductor surfaces under an etching solution, Appl. Phys. Lett. 57, 270–272 (1990)

    Article  Google Scholar 

  84. T. Thundat, L.A. Nagahara, S.M. Lindsay: Scanning tunneling microscopy studies of semiconductor electrochemistry, J. Vac. Sci. Technol. A 8, 539–543 (1990)

    Article  Google Scholar 

  85. S.C. Minne, S.R. Manalis, A. Atalar, C.F. Quate: Independent parallel lithography using the atomic force microscope, J. Vac. Sci. Technol. B 14, 2456–2461 (1996)

    Article  Google Scholar 

  86. M. Lutwyche, C. Andreoli, G. Binnig, J. Brugger, U. Drechsler, W. Häberle, H. Rohrer, H. Rothuizen, P. Vettiger: Microfabrication and parallel operation of 5 × 5 2-D AFM cantilever arrays for data storage and imaging, Proc. MEMS 98, 8–11 (1998)

    Google Scholar 

  87. G.M. Whitesides, B. Grzybowski: Self-assembly at all scales, Science 295, 2418–2421 (2002)

    Article  Google Scholar 

  88. P. Kazmaier, N. Chopra: Bridging size scales with self-assembling supramolecular materials, MRS Bulletin 25, 30–35 (2000)

    Article  Google Scholar 

  89. G.A. Gelves, Z.T.M. Murakami, M.J. Krantz, J.A. Haber: Multigram synthesis of copper nanowires using ac electrodeposition into porous aluminium oxide templates, J. Mater. Chem. 16, 3075–3083 (2006)

    Article  Google Scholar 

  90. R. Plass, J.A. Last, N.C. Bartelt, G.L. Kellogg: Self-assembled domain patterns, Nature 412, 875 (2001)

    Article  Google Scholar 

  91. Y.A. Vlasov, X.-Z. Bo, J.G. Sturm, D.J. Norris: On-chip natural self-assembly of silicon photonic bandgap crystals, Nature 414, 289–293 (2001)

    Article  Google Scholar 

  92. C. Gigault, K. Dalnoki-Veress, J.R. Dutcher: Changes in the morphology of self-assembled polystyrene microsphere monolayers produced by annealing, J. Colloid Interface Sci. 243, 143–155 (2001)

    Article  Google Scholar 

  93. J.C. Hulteen, C.R. Martin: A general template-based method for the preparation of nanomaterials, J. Mater. Chem. 7, 1075–1087 (1997)

    Article  Google Scholar 

  94. J.D. Joannopoulos, P.R. Villeneuve, S. Fan: Photonic crystals: putting a new twist on light, Nature 386, 143–149 (1997)

    Article  Google Scholar 

  95. T.D. Clark, R. Ferrigno, J. Tien, K.E. Paul, G.M. Whitesides: Template-directed self-assembly of 10-μ m-sized hexagonal plates, J. Am. Chem. Soc. 124, 5419–5426 (2002)

    Article  Google Scholar 

  96. S.A. Sapp, D.T. Mitchell, C.R. Martin: Using template-synthesized micro- and nanowires as building blocks for self-assembly of supramolecular architectures, Chem. Mater. 11, 1183–1185 (1999)

    Article  Google Scholar 

  97. Y. Yin, Y. Lu, B. Gates, Y. Xia: Template assisted self-assembly: A practical route to complex aggregates of monodispersed colloids with well-defined sizes, shapes and structures, J. Am. Chem. Soc. 123, 8718–8729 (2001)

    Article  Google Scholar 

  98. J.L. Wilbur, G.M. Whitesides: Self-assembly and self-assembles monolayers in micro- and nanofabrication. In: Nanotechnology, ed. by G. Timp (Springer, New York 1999)

    Google Scholar 

  99. S.R. Wasserman, Y.T. Tao, G.M. Whitesides: Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates, Langmuir 5, 1074–1087 (1989)

    Article  Google Scholar 

  100. C.P. Tripp, M.L. Hair: An infrared study of the reaction of octadecyltrichlorosilane with silica, Langmuir 8, 1120–1126 (1992)

    Article  Google Scholar 

  101. S. Fiorilli, P. Rivolo, E. Descrovi, C. Ricciardi, L. Pasquardini, L. Lunelli, L. Vanzetti, C. Pederzolli, B. Onida, E. Garrone: Vapor-phase self-assembled monolayers of aminosilane on plasma-activated silicon substrates, J. Colloid Interface Sci. 321, 235–241 (2008)

    Article  Google Scholar 

  102. R. de la Rica, A. Baldi, E. Mendoza, A. San Paulo, A. Llobera, C. Fernandez-Sanchez: Silane nanopatterns via gas-phase soft lithography, Small 4(8), 1076–1079 (2008)

    Article  Google Scholar 

  103. D.R. Walt: Nanomaterials: Top-to-bottom functional design, Nature 1, 17–18 (2002)

    Article  Google Scholar 

  104. J. Noh, T. Murase, K. Nakajima, H. Lee, M. Hara: Nanoscopic investigation of the self-assembly processes of dialkyl disulfides and dialkyl sulfides on Au(111), J. Phys. Chem. B 104, 7411–7416 (2000)

    Article  Google Scholar 

  105. M. Himmelhaus, F. Eisert, M. Buck, M. Grunze: Self-assembly of n-alkanethiol monolayers: A study by IR-visible sum frequency spectroscopy (SFG), J. Phys. Chem. 104, 576–584 (1999)

    Google Scholar 

  106. A.K. Boal, F. Ilhan, J.E. DeRouchey, T. Thurn-Albrecht, T.P. Russell, V.M. Rotello: Self-assembly of nanoparticles into structures spherical and network aggregates, Nature 404, 746–748 (2000)

    Article  Google Scholar 

  107. A. Ulman: An Introduction to Ultrathin Organic Films: From Langmuir–Blodgett to Self-Assembly (Academic, New York 1991)

    Google Scholar 

  108. E. Winfree, F. Liu, L.A. Wenzler, N.C. Seeman: Design and self-assembly of two-dimensional DNA crystals, Nature 394, 539–544 (1998)

    Article  Google Scholar 

  109. J.H. Reif, T.H. LaBean, N.C. Seeman: Programmable assembly at the molecular scale: self-assembly of DNA lattices, Proc. 2001 IEEE Int. Conf. Robot. Autom., Seoul (2001) pp. 966–971

    Google Scholar 

  110. A.P. Alivisatos, K.P. Johnsson, X. Peng, T.E. Wilson, C.J. Loweth, M.P. Bruchez Jr, P.G. Schultz: Organization of `nanocrystal moleculesʼ using DNA, Nature 382, 609–611 (1996)

    Article  Google Scholar 

  111. H. Cao, Z. Yu, J. Wang, J.O. Tegenfeldt, R.H. Austin, E. Chen, W. Wu, S.Y. Chou: Fabrication of 10 nm enclosed nanofluidic channels, Appl. Phys. Lett. 81, 174–176 (2002)

    Article  Google Scholar 

  112. H. Masuda, H. Yamada, M. Satoh, H. Asoh: Highly ordered nanochannel-array architecture in anodic alumina, Appl. Phys. Lett. 71, 2770–2772 (1997)

    Article  Google Scholar 

  113. R.L. Fleischer: Nuclear Tracks in Solids: Principles and Applications (Univ. of California Press, Berkeley 1976)

    Google Scholar 

  114. R.E. Packard, J.P. Pekola, P.B. Price, R.N.R. Spohr, K.H. Westmacott, Y.Q. Zhu: Manufacture observation and test of membranes with locatable single pores, Rev. Sci. Instrum. 57, 1654–1660 (1986)

    Article  Google Scholar 

  115. L. Sun, P.C. Searson, C.L. Chien: Electrochemical deposition of nickel nanowire arrays in single-crystal mica films, Appl. Phys. Lett. 74, 2803–2805 (1999)

    Article  Google Scholar 

  116. E.C. Walter, M.P. Zach, F. Favier, B.J. Murray, K. Inazu, J.C. Hemminger, R.M. Penner: Metal nanowire arrays by electrodeposition, ChemPhysChem 4(2), 131–138 (2003)

    Article  Google Scholar 

  117. M.Z. Atashbar, D. Banerji, S. Singamaneni, V. Bliznyuk: Deposition of parallel arrays of palladium nanowires and electrical characterization using microelectrode contacts, Nanotechnology 15(3), 374–378 (2004)

    Article  Google Scholar 

  118. Y. Du, W.L. Cai, C.M. Mo, J. Chen, L.D. Zhang, X.G. Zhu: Preparation and photoluminescence of alumina membranes with ordered pore arrays, Appl. Phys. Lett. 74, 2951–2953 (1999)

    Article  Google Scholar 

  119. M. Guowen, C. Anyuan, C. Ju-Yin, A. Vijayaraghavan, J.J. Yung, M. Shima, P.M. Ajayan: Ordered Ni nanowire tip arrays sticking out of the anodic aluminum oxide template, J. Appl. Phys. 97, 64303–1–64303–5 (2005)

    Article  Google Scholar 

  120. S. Yang, H. Zhu, D. Yu, Z. Jin, S. Tang, Y. Du: Preparation and magnetic property of Fe nanowire array, J. Magn. Magn. Mater. 222, 97–100 (2000)

    Article  Google Scholar 

  121. M. Sun, G. Zangari, R.M. Metzger: Cobalt island arrays with in-plane anisotropy electrodeposited in highly ordered alumina, IEEE Trans. Magn. 36, 3005–3008 (2000)

    Article  Google Scholar 

  122. P.V. Braun, P. Wiltzius: Electrochemically grown photonic crystals, Nature 402, 603–604 (1999)

    Article  Google Scholar 

  123. S.R. Nicewarner-Pena, R.G. Freeman, B.D. Reiss, L. He, D.J. Pena, I.D. Walton, R. Cromer, C.D. Keating, M.J. Natan: Submicrometer metallic barcodes, Science 294, 137–141 (2001)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Babak Ziaie , Antonio Baldi or Massood Z. Atashbar .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer-Verlag

About this chapter

Cite this chapter

Ziaie, B., Baldi, A., Atashbar, M.Z. (2010). Introduction to Micro-/Nanofabrication. In: Bhushan, B. (eds) Springer Handbook of Nanotechnology. Springer Handbooks. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-02525-9_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-02525-9_8

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-02524-2

  • Online ISBN: 978-3-642-02525-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics