Skip to main content

Dielectric Materials

  • Chapter
  • First Online:
Copper Interconnect Technology

Copper (Cu) has higher conductivity and resistance to electromigration (EM) than aluminum (Al) and has been the choice of the semiconductor industry for interconnecting metal in sub-100 nm devices. With rapidly decreasing feature sizes and more demand for circuit speed, low-K and passivation materials have been inserted with Cu-interconnects to address the additional RC delay reduction [12]. Unfortunately, as the thickness of the gate oxide becomes very thin because of the scaling down of channel length, quantum mechanical tunneling occurs for voltages below the Si/SiO2 barrier height which is approximately 3.1 eV [34] (Fig. 2.1).

The effect of gate oxide on channel length and its consequences on the oxide-tunneling limit (Reprinted with permission, IBM Research [3])

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. S.P. Murarka,M. Eizenbergh, and A.K. Sinha (eds.), Interlayer dielectrics for semiconductor technologies, Elsevier/Academic press, Amsterdam, Boston, 2003 and M. Chudzik et al., IEEE VLSI Tech Dig., Issue 12–14, 194, (2007)

    Google Scholar 

  2. P.S. Ho, W.W. Lee, and J. Leu, Low dielectric constant materials for IC applications, Springer, New York, 2002 and E.P. Gusev, V. Narayan, and M.M. Frank, IBM J. Res. Dev., 50 (4/5), 387 (2006)

    Google Scholar 

  3. T. Taur et al., CMOS scaling into the 21st century, IBM J. Res. Dev., 39 (1/2), 245 (1995) and F. Fiorenza, R.L. Nigro, V. Raineri, and D. Slinas, Microelectron Eng., 84 (3), 441 (2007)

    Article  Google Scholar 

  4. S. Momose, M. Ono, T. Yoshitomi, S. Nakamura, M. Saito, and H. Iwai, IEEE Trans. Electron. Dev. ED, 43, 1233 (1996) and M. Koh et al., Threshold voltage fluctuation induced by direct tunnel leakage current through 1.2-2.8 nm thick gate oxide for sealed MOSFETs, IEDM 98-919-34.2.1 (1998)

    Article  Google Scholar 

  5. M.A. Alam, A critical examination of the mechanics of dynamic NBTI for PMOSFETs, IEEE Int. Electron. Dev. Mtg., 345, (8–10 Dec. 2003) and G. Chen et al., Dynamic NBTI of PMOS transistors and its impact on device life time, IEEE 41st Annual Int. Reliab. Phys. Symp., p. 196, April 2003

    Google Scholar 

  6. J.W. Mayer and S.S. Lau, Electronic materials science, Chapter 9, Macmillan Pub., New York, 1990 and G.C. Chen et al., Dynamic NBTI of PMOS transistor and its impact on device lifetime, IEEE 41st Annual Int. Reliab. Phys. Symp., p. 196, April 2003 and A. Shiekova et al., NBTI Relib. Microelectron Reliab., 47 (4/5), 505 (2007)

    Google Scholar 

  7. M.T. Bohr and Y.A. El-Mansy, Technology for high performance microprocessors, IEEE Trans. Electron. Dev., 45 (3), 620 (1998) and T.K. Gupta, Hand -book of thick and thin film hybrid microelectronics, Chapter 6, Wiley, NJ, 2003 and R.H. Havemann and J.A. Hutchby, High performance Interconnects, IEEE Proc., 89 (5), 586 (2001) and also S. Sankaran et al., IEEE IEDM Tech. Dig., Issue 21 (2006)

    Article  Google Scholar 

  8. N. Kawakami et al., Jpn. J. Appl. Phys. Part-II, 39, L182 (2000) and also R.P. Feynman, R.B. Leighton, and M. Sands, Lecture on physics, Wiley, New York, Chapter 10, p. 811 (1993)

    Article  Google Scholar 

  9. M. Morgan, E.T. Ryan, J. Zaho, C. Hu, and P.S. Ho, Annu. Rev. Mater. Sci., 30, 645 (2000) and D.W. Hess, A century of dielectric science and technology, J. Electrochem. Soc., 150 (1), S-1 (2003)

    Article  Google Scholar 

  10. L.C. Chen, Y.H. Xu, B. Dunn, K.N. Tu, Appl. Phys. Lett., 73, 2944 (1998) and H. Park and C.R. Helms, J. Electrochem. Soc., 139, 2042 (1992) and also S.C. Lee, A.S. Oates, and K.M. Chang, IEEE IITC Conf., SanFrancisco, CA (June 2008)

    Article  Google Scholar 

  11. H.J. Lee, E.K. Lin, H. Wang, W.L. Wu, W. Chen, and E.S. Moyer, Chem. Mater., 14, 1845 (2002) and also D.J. Dumin, Int. J. High speed Electron. Syst., 11, 617 (2001)

    Article  Google Scholar 

  12. A. Gill and V. Patel, Appl. Phys. Lett., 79, 803 (2001)

    Article  Google Scholar 

  13. G.N. Taylor and T.M. Wolf, Polym. Eng. Sci., 20, 1086 (1980) and B. Kastenmeier, K. Pfeifer, and A. Knorr, Effective-K, Semicond. Int., 27 (8), 87 (July 2004)

    Article  Google Scholar 

  14. H. Kitoh, M. Mroyama, M. Sasaki, M. Iwasawa, and H. Kimura, Jpn. J. Appl. Phys., 35, 1464 (1996)

    Article  Google Scholar 

  15. A. Gill and V. Patel, Interaction of hydrogen plasma with extreme low-K SiCOH dielectrics, J. Electrochem. Soc., 151 (6), 133 (2004)

    Article  Google Scholar 

  16. S.V. Nitta et al., J. Vac. Sci. Technol., B 17, 205 (1999) and also B. Peng, W.F. Yu, P.Lee, and M. Naik, A new CVD process for damascene low k application, Semicond. Fab. Tech. 10th ed., ICG Pub., UK, 285 (2000)

    Article  Google Scholar 

  17. M. Bohr, Low dielectric constant material for ULSI interlayer dielectric applications, Proc. IEEE, Int. Electronic Device meeting, 10–13 Dec., Washington DC, pp. 241–244 (1995), and MRS Bull. Oct.1997 and also G.D. Wilk, R.M. Wallace, and J.M. Anthony, J. Appl. Phys., 89, 5243 (2001)

    Google Scholar 

  18. P. Nunan, The challenge of low-K, KLA-Tencor corp., Yield management solutions, Spring, San Jose, CA, p. 17 2000 and also S. Yang et al., Chem. Mater., 14, 368 (2002) and N. Nakamura et al., IEEE IITC June 4, SanFransisco, CA, (2008)

    Google Scholar 

  19. H.F. Wolf, Semiconductors, Wiley, New York, p. 336 (1971) and also B. Tareev, Physics of dielectricmaterials, Permittivity of mixtures,MIR Pub., Moscow, p. 116 (1975) and X. Zhao, D. Ceresoli, and D. Vanderbilt, Phys. Rev., B71, 085107 (2005)

    Google Scholar 

  20. S.M. Sze, VLSI technology, McGraw Hill, New York, p. 259 (1988) and also A.T. Kohl et al., Low-K porous methyli silsesquinoxane and spin on glass, J. Electrochem. Solid State Lett., 2 (2), 77 (1999)

    Google Scholar 

  21. S. Rogojevic et al., Interactions between silica xerogel and tantalum, J. Vac. Sci. Technol., B-19 (2), 354 March/April, p. 354 (2001) and also X. Ziang, K.S. Chen, R. Ghoddsi, A.A. Ayon, and S.M. Spearing, Residual stress and fracture in thick tetraethylorthosilicate (TEOS) and silane based PECVD oxide films, Sens. Actuators A, 91, 373 (2001)

    Article  Google Scholar 

  22. B. Tareev, Physics of dielectric materials, Mir Pub., Moscow, p. 119 (1975) and also X. Gonze and C. Lee, Phys. Rev., B55 (10), 355 (1997) and P. Pulay, Chem. Phys. Lett., 73, 393 (1980)

    Google Scholar 

  23. U. Russow, Optical characterization of porous materials, Phys. Status Solidi. (a) 184 (1), March (2001) and also B. Shieh, K. Saraswat, M. Deal, and J. McVittie, Solid State Technol., 42, 51 (1999)

    Google Scholar 

  24. K. Maex, M.K. Baknalov, D. Shamiryan, F. Lacopi, S.H. Brongersma, and Z.S. Yanovitskaya, Low dielectric constant materials for microelectronics, J. Appl. Phys., 93 (11), 8793 (2003)

    Article  Google Scholar 

  25. F. Iacopi, Z S. Tokei, M. Stucchi, S. Brongersma, D. Vanhaeren, and K. Maex, Microelectron. Eng., 65, 123 (2003)

    Article  Google Scholar 

  26. S. Yang, P. Mirau, J. Sun, and D.W. Gidley, Characterization of nanoporous ultra low-K thin films templated by copolymers with different architectures, Radiation Phys. Chem., 68, 351 (2003) and H. Miyoshi et al., Jap. J. Appl. Phys., 43 (2), 498 (2004) and also R. Hoofman, R. Daamen, J.Michelon, and V. Nguyenhoang, SST, Alternatives to low-K nanoporous materials, 49 (8), 21 (2006)

    Article  Google Scholar 

  27. C. Hu et al., Appl. Phys. Lett., 77, 145 (2000) and K. Maex et al., J. Appl. Phys., 93 (11), 8793 (2003)

    Article  Google Scholar 

  28. K.W. Gerstenberg and M. Grischke, J. Appl. Phys., 69, 736 (1991) and F. Iacopi et al., J. Appl. Phys., 92, 1548 (2002) and also S. Narashimha et al., High performance 45 mn technology, IEEE IEDM Tech. Dig., 16 (1), 689 (2006)

    Article  Google Scholar 

  29. E. Schaffer, Fracture mechanics of thin film dielectrics, The dow chemical co. sept. 2000 and also R.D. Miller, Science, 286, 421 (1999)

    Google Scholar 

  30. W.G.M. Van den, Solid State Technol., 48 (11), pp. 56–65 (Nov. 2005) and D.W. Gidley et al., Appl. Phys. Lett., 76, 1282 (2000)

    Google Scholar 

  31. J.G. Ryan et al., Copper and low-k dielectric integration challenges, Low-K dielectric materials Seminar, Semicon West 2000 and also T. Sui et al., Technology and reliability for advanced interconnects and low-K dielectrics, Mat. Res. Soc. Proc., 612, D1.2.1–D1.2.5 (2001)

    Google Scholar 

  32. C. Jin, S. Lia, and J.T. Wetzel, Evaluation of ultra low-K dielectric materials, J. Electron. Mater., 30 (4), 284–289 (2001) and P.D. Rouffignac, Z. Li, and R.G. Gordon, Sealing porous low-K dielectrics with silica, Electrochem. Solid-State Lett., 7 (12), p. G306 (2004)

    Article  Google Scholar 

  33. D.W. Gidley, W.E. Frieze, T.L. Dull, A.F. Yee, E.T. Ruan, and H.M. Ho, Phys. Rev., B 60, 5157 (1999) and C. Gueds et al., Microelectron Reliab., 47 (4), 764 (2007)

    Article  Google Scholar 

  34. M.E. Mills, P. Townsend, D. Castillo, S. Martin, and A. Achen, Benzocyclobutane (DVSBCB) polymer as an interlayer dielectric (ILD) material, Microelectron. Eng., 33, 327 (1997)

    Article  Google Scholar 

  35. C.M. Whelan et al., Sealing porous low-K dielectrics, Electrochem. Solid State Lett., 7 (2), F8–F10 (2004)

    Article  Google Scholar 

  36. V. Jousseaume et al., Pore sealing of a porous dielectric by using a thin PECVD a-SiC:H conformal liner, J. Electrochem. Soc., 152 (10), F156 (2005)

    Article  Google Scholar 

  37. J.J. Senkevich et al., Molecular caulk: A pore sealing technology for ultra low-K dielectrics, Mater. Res. Soc. Symp., 812, F1.2.1 (2004)

    MathSciNet  Google Scholar 

  38. L. Peters, Is pore sealing key to ultra low-K adoption?, Semicond. Int., 28 (10), 49 Oct. (2005)

    Google Scholar 

  39. F. Iacopi, M.R. Baklanov, E. Sleeckx, T. Conard, H. Bender, H. Meynen, and K. Maex, J. Vac. Sci. Technol., 20, 109 (2002)

    Article  Google Scholar 

  40. J.N. Sun, D.W. Gidley, W.E. Frieze, T.L. Dull, A.F. Yee, E.T. Ruan, S. Lin, and Z. Witzel, Probing diffusion barrier integrity on porous silica low-K thin films using positron anhilation spectroscopy, J. Appl. Phys., 89 (9), 5138 (2001)

    Article  Google Scholar 

  41. J. Ning, Y. Hu, W.E. Frieze, W. Chen, And D. Gidley, How pore size and surface roughness affect diffusion barrier continuity on porous low-K films, J. Electrochem. Soc., 150 (5), F97 (2003)

    Article  Google Scholar 

  42. A. Jain et al., Effects of processing history of modulus of xerogel films, J. Appl. Phys., 90 (11), 5832–5834 (2001)

    Article  Google Scholar 

  43. J.B. Zhao et al., Reliability and electrical performance of low-K dielectric constant interlevel dielectric for high performance, Proc. IRPS, 156 (1996)

    Google Scholar 

  44. S.S. Prakash, T.J. Brinker, and A.J. Hurd, J. Non Cryst. Solids, 190, 264 (1995)

    Article  Google Scholar 

  45. B.S. Martin, J.P. Godschalx, M.E. Mills, E.O. Shaffer II, and P.H. Townsend, Adv. Mater., 12, 1769 (2000)

    Article  Google Scholar 

  46. C.J. Brinker, G.W. Scherer, Sol gel, Science Academic Pub., San Diego, CA , p. 507, (1999)

    Google Scholar 

  47. J. Hedrick et al., IEEE Int. Interconnect Tech. Conf. Proc., p. 261, (2000) and J.-P. Pascault, H. Sautereau, J. Verdu, and R.J.J. Williams, Thermosetting polymers, Marcell Dekker, New York, (Feb. 2002)

    Google Scholar 

  48. P.S. Foster, E. Ecker, E. Rutter Jr., and E.S. Moyer, US Patent 5,882,836 (1999) and also K. Mosig, T. Jacobs, K. Brenan, M. Rasco, J. Wolf, and R. Augur, Microelectron. Eng., 64, 11 (2002)

    Google Scholar 

  49. N. Aoi, Jpn. J. Appl. Phys., 36, 1355 (1997) and also G. Passemard, P. Fugier, P. Noel, F. Piresand, O. Demolliens, Microelectron. Eng., 33, 335 (1997)

    Article  Google Scholar 

  50. US Patent, Dow Corning, #5,045592 (1975)

    Google Scholar 

  51. A. Modafe, N. Ghalichechian, B. Kleber, and R. Ghodssi, Electrical characterization of benzocyclobutene polymers for electrical micromachines, IEEE Trans. Dev. and Mater. Reliab., 4 (3), 495 (2004)

    Article  Google Scholar 

  52. M. Morgan, E.T. Ryan, J.H. Zaho, C. Hu, T. Cho, and P.S. Ho, Annu. Rev. Mater. Sci., 30, 645 (2000)

    Article  Google Scholar 

  53. C.V. Nguyen et al., Chem. Matter., 11, 3080 (1999)

    Article  Google Scholar 

  54. M.E. Mills, P. Townsend, D. Castillo, S. Martin, and A. Achen, Benzocyclobutene (DVSBCB) polymer as an interlayer dielectric (ILD) material, Microelectron. Eng., 33, 327 (1997)

    Article  Google Scholar 

  55. M. Ikeda et al., Integration of organic low-K material with Cu-damascene employing novel process, IEEE Intl. Interconnect Tech. Conf. p. 131, (June 1998)

    Google Scholar 

  56. S.W. Chung, S.T. Kim, J.H. Sin, J.K. Kim, and J.W. Park, Comparative study of hydroorgano siloxane polymer and hydrogen silsesquioxane, Jap. J. Appl. Phys. Part I, 39, 5809–5815 (2000)

    Article  Google Scholar 

  57. P.S. Ho, W.W. Lee, and J. Leu, Low dielectric constant materials for IC applications, Thermal properties, p. 43, Springer, New York (2002)

    Google Scholar 

  58. C.T. Chu, G. Sarkar, and X. Hu, J. Electrochem. Soc., 145, 4007 (1998)

    Article  Google Scholar 

  59. M.J. Laboda, C.M. Grove, and R.F. Schneider, J. Electrochem. Soc., 145, 2861 (1998)

    Article  Google Scholar 

  60. J.P. Godschalx et al., Polyphenylene oilgomers and polymers, US Patent 5965679, 1999

    Google Scholar 

  61. M. Padovani et al., Electrochem. Solid State Lett., 4, F25 (2001)

    Article  Google Scholar 

  62. S.T. Martin et al., Development of low dielectric constant polymers for the fabrication of integrated interconnects, Adv. Mater., 12, 1769 (2000)

    Article  Google Scholar 

  63. P.A. Kohl et al., Electrochem. Solid State Lett., 1, 49 (1998)

    Article  Google Scholar 

  64. P.S. Ho, W.W. Lee, and J. Leu, Low dielectric constant materials for IC applications, Moisture uptake, Springer, New York, p. 46, 2002 and R.D. Miller, Science, 286, 421 (1999)

    Google Scholar 

  65. S.W. Chung, J.H. Shin, N.H. Park, and J.W. Park, Dielectric properties of hydrogen silsesquioxane films degraded by heat and plasma treatment, Jpn. J. Appl. Phys. Part-1, 38, 5214 (1999)

    Article  Google Scholar 

  66. C.T. Coua, G. Sarkar, and X. Hu, J. Electrochem. Soc., 145, 4007 (2000)

    Google Scholar 

  67. K.G. Pruden, K. Sinclair, and S. Beaudoin, Characteristics of parylene N and parylene C photo-oxidation, J. Poly. Sci. Part-I, Poly-Chem., 41 (10), 1486–1496, Wiley, (2003)

    Article  Google Scholar 

  68. Y.S. Yeh, W.J. James, and H. Yashuda, J. Poly. Sci. B, 28 (4), 545–568 (2003)

    Article  Google Scholar 

  69. S.C. Selbrede and M.L. Zucker, Characterization of parylene-N thin films for low-K VLSI applications, MRS spring meeting, San Francisco, CA, spring 1997 and also A.J. Flewitt, A.P. Dyson, J. Robertson, and W.I. Milne, Thin Solid Films, 383, 172 (2001)

    Google Scholar 

  70. K. Taylor, M. Eissa, J. Gaynor, S.P. Jeng, and H. Nguyen, Parylene co-polymers, MRS spring meeting, San Francisco, CA (Spring 1997) and also R.L. Opila and D.W. Hess, A century of dielectric science and technology, J. Electrochem. Soc., 150 (1), S4 (2003)

    Google Scholar 

  71. G. Chen, Z. Xia, Y. Zang, and H. Zang, Preparing and polarizing stability of Teflon AF nonlinear optical polymer electret double layer thin film system, IEEE Trans. Dielect. Elect. Insulation, 6 (6), 929 (Dec. 1999)

    Article  Google Scholar 

  72. P.T. Dao, D.J. Williams, and K.G. Berarduce, Constant current corona charging as a technique for poling organic non-linear optical thin film and the effect of ambient gas, J. Appl. Phys., 73, 2043 (1993) and also A.F. Teflon, Amorphous Fluoropolymer, Technical Pub. DuPont Speciality Polymers Div., Wilmington, DE (1990)

    Article  Google Scholar 

  73. P.W. May, Diamond thin films: A 21st century material, Phil. Trans. Royal Soc. Lond. A, 358, 473 (2000)

    Article  Google Scholar 

  74. M. Ashman, J. Heberlin, and E. Pfender, Diamond Relat. Mater., 8, 1 (1999)

    Article  Google Scholar 

  75. A. Gill, Plasma deposited diamond like carbon and related materials, IBM J. Res. Dev., 43 (1/2), 39 (1999)

    Google Scholar 

  76. E. Riedo, F. Comin, J. Chevier, F. Schmittisen, S. Decossas, and M. Sancrotti, Surface Coat. Technol., 125, 124 (2000)

    Article  Google Scholar 

  77. E. Riedo, F. Comin, J. Chevior, and A.M. Bonnot, J. Appl. Phys., 88, 4365 (2000) and also A.Y. Liu and M.L. Cohen, Phys. Rev., B 41, 10727 (1990)

    Article  Google Scholar 

  78. A.M. Campos, J. Torres, and J.J. Giraldo, Porous silicon dielectric function modeling from effective medium theories, Surface Rev. Lett., 9 (5/6), 1631 (2002)

    Article  Google Scholar 

  79. D.A. Burggeman, Ann. Phys., 5, 636 (1935)

    Article  Google Scholar 

  80. L. Lang, Y. Xia, M. Zhang, and W. Shi, Letter to the editor, Semi. Sci. Tech., 19 (3), L35, March (2003)

    Google Scholar 

  81. A.K. Sikdar, F. Giglio, J. Wood, A. Kumar, and J.M. Anthony, J. Electron. Mater., 30, 1522 (2002) and S. Gall et al., IEEE IITC, San Francisco, CA (June 2008)

    Google Scholar 

  82. K. Mosig, T. Jacobs, K. Brenan, M. Rasco, J. Wolf, and R. Augur, Micrelectron. Eng., 64, 11–24 (2002)

    Article  Google Scholar 

  83. G.R. Yang, D. Mathur, X.M. Xu, S. Dabral, J.F. McDonald, and T.M. Liu, J. Electron. Matter., 25, 1778 (1996)

    Article  Google Scholar 

  84. J.P. Chang, Y.S. Lin, S. Berger, A. Kepten, R. Bloom, and S. Levy, Ultra thin zirconium oxide films as alternative gate dielectric, J. Vac. Sci. Technol., B19 (6), 2571 (2001) and also H.J. Massoud, I. Baumvol, M. Hirose, and E.H. Pointdexter (eds.), The physics and chemistry of SiO2 and the Si-SiO2 interface, The electrochem. Soc. Pub., Pennington, NJ (2000), PV2000-2

    Google Scholar 

  85. D.A. Buchanon, J.H. Sathis, E. Cartier, and D.J. Maria, Microelectron. Eng., 36, 329 (1997) and P.D. Krisch et al., Mobility enhancement of high-K gate stacks, IEEE IEDM Tech. Dig., pp. 1–4, Dec. (2006)

    Article  Google Scholar 

  86. A.T. Kohl et al., Low K porous MSQ and spin on glass, Electrochem. Solid State Lett., 2 (2), 77 (1999)

    Article  Google Scholar 

  87. B. Pang, W.F. Yu, P. Lee, and M. Naik, A new CVD process for damascene low k applications, Semicond. Fabr. 10th ed. ICG Pub., UK (2000)

    Google Scholar 

  88. J.J. Senkevich and S.B. Desu, Poly (tetra-fluoro-p-xylylene), a low dielectric constant chemical vapor polymerized polymer, Appl. Phys. Lett., 72, 258 (1998)

    Article  Google Scholar 

  89. R. Leung et al., Porous and nonporous poly (arylene ether) thin films, Suitability as extra low-K dielectrics for microelectronics applications, Proc. 9th meeting of the symp. on polymers for microelectronics, Wilmington, DE (May 2000)

    Google Scholar 

  90. K. Postava, T. Yamaguchi, and T. Nakano, Characterization of organic low-dielectricconstant materials using optical spectroscopy, Opt. express, 9 (3), pp. 144–151 July (2001)

    Article  Google Scholar 

  91. G.D. Wilk, R.M. Wallace, and J.M. Anthony, J. Appl. Phys., 89, 5243 (2001) and C.S. Park et al., Achieving low Vt and thin EOT, IEEE Proc. On VLSI-TSA, 12, p. 154, (2008)

    Article  Google Scholar 

  92. R.M.A. Azzam and N.M. Bashara, Ellipsometry and polarized light, Elsevier Pub., Amsterdam (1977)

    Google Scholar 

  93. G. Bersuker et al., Interface induced mobility degradation in high-K transistors, Jap. J. Appl. Phys., 43, 7899 (2004) and J. Barnett et al., Cleaning role in high-K /metal gate substrate, Semicond. Int., 29 (2), 45 Feb. (2006)

    Article  Google Scholar 

  94. B.H. Lee et al., Intrinsic characteristics high-K devices and implications of fast transient charging effects, Int. Electron. Dev. Meeting, (IEDM) Tech. Digest, 859–862 (2004)

    Google Scholar 

  95. D.Y. Cho et al., Control of silicidation in HfO2/Si (100) interfaces, Appl. Phys. Letts., 86, 041913 (2005)

    Google Scholar 

  96. G.B. Alers et al., Appl. Phys. Lett., 72, 1308 (1998) and also D.J. Dumin, Int. High Speed Electron. Syst., 11, 617 (2001) and I. Kume et al., IEEE IITC, SanFrancisco, CA (June 2008)

    Article  Google Scholar 

  97. L. Machenda et al., IEEE Tech. Dig. Int. Electron. Dev. Meet, (IEDM) 605 (1998)

    Google Scholar 

  98. J.P. Chang, Y.S. Lin, Y.M. Sun, J. Lozano, H. Ho, H.J. Park, S. Veldman, and J.M. White, Appl. Surf. Sci., 161, 115 (2000)

    Article  Google Scholar 

  99. M. Copel, M. Gribelyuk, and E. Gusev, Appl. Phys. Lett., 76, 436 (2000)

    Article  Google Scholar 

  100. L. Kang et al., Electrical characteristics of highly reliable ultrathin hafnium oxide gate dielectric, IEEE Dev. Lett., 21 (4), 181 (2000) and also M. Ritala, M. Leskelain, H.S. Nalwa (ed.), Hand book of thin film materials, Vol. 234, Academic press, Orlando, FL, p. 183 (2002)

    Article  Google Scholar 

  101. M.M. Frank et al., Hafnium oxide gate dielectric grown from an alkoxide precursor, Mater. Sci. Eng., B 109, 6 (2004)

    Article  Google Scholar 

  102. B. Van Dover, L.F. Schneemeyer, and R.M. Fleming, Nature, 392, 162 (1998)

    Article  Google Scholar 

  103. R.A. Mckee, F.J. Walker, and M.A. Chrisholm, Phys. Rev. Lett., 81, 3014 (1998)

    Article  Google Scholar 

  104. J.P. Han and T.P. Ma, Appl. Phys. Lett., 72, 1185 (1998)

    Article  Google Scholar 

  105. W.J. Qi et al., Appl. Phys. Lett., 77, 1704 (2000) and E.P. Gusev, V. Narayanan, and M.M. Frank, IBM J. Res. Dev., 90 (4/5), 387 (2006)

    Article  Google Scholar 

  106. B.H. Lee, L. Kang, W.J. Qi, and J.C. Lee, Appl. Phys. Lett., 76, 1926 (2000)

    Article  Google Scholar 

  107. G.D. Wilk and R.M. Wallace, Appl. Phys. Lett., 74, 2854 (1999) and M.V. Fischetti, D.A. Neumayer, and E.A. Cartier, J. Appl. Phys., 90 (9), 4587 (2001)

    Article  Google Scholar 

  108. R. Puthenkovilakam, E.A. Carter, and J.P. Chang, First principles exploration of alternative gate dielectrics: Electronic, structure of ZrO2/Si/ZrSiO4/Si interfaces, Phys. Rev., B-69, 155–329 (2004)

    Google Scholar 

  109. J.L. Hedrick et al., Templating nanoporosity in thin film dielectric insulators, Adv. Mater., 10, 1049 (1988)

    Article  Google Scholar 

  110. K.R. Carter et al., Process for manufacturing integrated circuit devices, US Patent 3895263 (1999)

    Google Scholar 

  111. C.J. Hawker, J.L. Hedrick, and R. Miller, Integrated circuit process for its manufacture, US Patent 5767014 (1998)

    Google Scholar 

  112. W.D. Gray and M.J. Laboda, New barrier layers can help Cu-low-K integration, Solid State Technol., 45 (3), 37 (2002)

    Google Scholar 

  113. X. Zhao and D. Venderbilt, Phys. Rev., B-65, 075105 (2002) and B-65, 233106 (2002)

    Google Scholar 

  114. X. Zhao and D. Vanderbilt, MRS. Fall Meeting, 745, N 7.2.1 (2003)

    Google Scholar 

  115. X. Zhao, D. Ceresoli, and D. Vanderbilt, Structural, electronic, and dielectric properties of amorphous ZrO2 ab initio molecular dynamics, Phys. Rev., B-71, 085107 (2005)

    Article  Google Scholar 

  116. P. Xu et al., BLOK-A Low-K dielectric barrier/etch stop film for copper damascene applications, Proc. IEEE Int. Conn. Technol. Conf. p. 109, (1999)

    Google Scholar 

  117. G. Lucovsky, J. Vac. Sci. Technol., A-16, 356 (1998)

    Article  Google Scholar 

  118. T.P. Ma, IEEE Trans. Electron. Dev., 45, 680 (1998)

    Article  Google Scholar 

  119. G. Lucovsky et al., Electronic structure of high-K transition metal oxides and their silicate and aluminate alloys, J. Vac. Sci. Technol., B-20 (4), 1739 (2002)

    Article  Google Scholar 

  120. G. Wilk, R.W. Wallace, and J.M. Anthony, Micrelectron. Eng., 59, 329 (2001)

    Article  Google Scholar 

  121. K.J. Wynne and R.W. Rice, Annu. Rev. Matter., 14, 297 (1984) and G.D. Wilk, R.M. Wallace, and J.M. Anthony, J. Appl. Phys., 87, 484 (2000)

    Article  Google Scholar 

  122. M.J. Laboda, New solutions for interconnect dielectrics using trimethyl silane based PECVD processes, Pro Euro.Workshop on Mats. For Adv. Metallization, Oostende, Belgium (1999), Microelectron. Eng., 50, 15–23 (2000) and also G. Baccanari, M.R. Wordeman, and R.H. Dennard, IEEE Trans. Electron. Dev., 31, 452 (1984)

    Google Scholar 

  123. F.R. Ley, J. Am. Ceram. Soc., 83 (2), 245 (2000) and also W.A.P. Claassen, W.G.J.N. Valkenborg, M.F.C. Willemsen, and S.W. Haszko, J. Electrochem. Soc., 132, 893 (1995)

    MathSciNet  Google Scholar 

  124. M.J. Hofmann, Si3N4 ceramics structure & properties of encyclopedia of materials science & technology, Elsevier Sci., NY, 4–5, 8469 (2001)

    Google Scholar 

  125. M.V. Fischeti and S.E. Laux, Long range Coulomb interactions in small Si-devices, J. Appl. Phys., 89, 1205 (2001)

    Article  Google Scholar 

  126. J.R. Brews, W. Fichtner, E.H. Nicollian, and S.M. Sze, Generallized guide for MOSFET miniaturization, IEEE Electron Dev. Lett., 1, 2 (1980)

    Article  Google Scholar 

  127. S. Wolf, Silicon processing for VLSI Era, Chapter 4, Vol. 4, Lattice press, Sunset beach, CA, pp. 145–180, 2004 and also A.C. Adams, Dielectric and polysilicon film deposition, in S.M.Sze (ed.), VLSI Technology, McGraw Hill, New York, p. 233 (1988)

    Google Scholar 

  128. C.M. Osburn et al., Vertically scaled MOSFET gate stacks and junctions, IBM J. Res. Dev., 46, 299–315 March/May (2002)

    Article  Google Scholar 

  129. R. Sharma, A. Kumar, and J. Anthony, Advances in high-K dielectric gate materials for future ULSI Devices, JOL, Microelectron. Processing, 53, pp. 53–55 June (2001)

    Google Scholar 

  130. S.H. Lo, D.A. Buchanon, Y. Taur, and W. Wang, Quantum mechanical modeling of electron tunneling current from inversion layer of ultra thin oxide of n-MOSFET’s, IEEE Electron. Dev. Lett., 18, 209 (1997)

    Article  Google Scholar 

  131. C.A. Ritcher, A.R. Hefner, and E.M. Vogel, A comparision of quantum mechanical capacitance voltage simulation, IEEE Electron. Dev. Lett., 22, 35 (2001)

    Article  Google Scholar 

  132. J. Robertson, Band offsets of wide-band-gap oxides and implantation for future electronic devices, J. Vac. Sci. Technol., B-18, 1785 (2000)

    Article  Google Scholar 

  133. G. Lucovsky, J. Vac. Sci. Technol., A-19, 1553 (2001)

    Article  Google Scholar 

  134. International Technology Roadmap for Semiconductors (ITRS), Int. SEMATECH, Austin, TX, 2001

    Google Scholar 

  135. T. Yamaguchi, H. Satake, N. Fukushima, and A. Toriumi, Band diagram and carrier conduction mechanism in ZrO2/Zr - silicate/Si MIS structure fabricated by pulsed laser ablation deposition, IEEE IEDM Tech. Digest, pp. 31–34 (2000)

    Google Scholar 

  136. L. Manchanda et al., Gate quality doped high-K films for CMOS beyond 100-nm, IEEE Int. Electron. Dev. Meeting (IEDM) Tech Digest, 9, 149 (2000)

    Google Scholar 

  137. L. Kang et al., Electrical characteristics of highly reliable ultrathin hafnium oxide gate dieelectric, IEEE Dev. Lett., 21 (4), 181–183 (2000) and E. Atnassova and A. Paskaleva,Microelectron. Reliab., 47 (6), 913 (2007)

    Article  Google Scholar 

  138. J. Robertson, J. Vac. Sci. Technol., B-18, 1785 (2000) and also G. Lucovsky, J.L. Whiten, and Y. Zang, Microelectron. Eng., 59, 329 (2001) and K. Okada et al., IEEE Sym. On Int. Relib. Phys., April 27, Phoenix, AZ (2008)

    Article  Google Scholar 

  139. M.V. Fischetti, Long range Coulomb interactions in small Si-devices, Part II: Effective electron mobility in thin-oxide structures, J. Appl. Phys., 89, 1232 (2001)

    Article  Google Scholar 

  140. B.H. Lee et al., Ultrathin hafnium oxide with low leakage and excellent reliability for alternative gate dielectric applications, IEEE IEDM Tech. Dig., 133 (1999)

    Google Scholar 

  141. M.M. Frank et al., Hafnium oxide gate dielectrics grown from alkoxide precursor,Mater. Sci. Eng.–, 6 (2004) and also G.D.Wilk, R.M.Wallace, and J.M. Anthony, J. Appl. Phys., 87, 484 (2000)

    Google Scholar 

  142. 142.M. Ritla M. Leskela in H.S. Nalwa (ed.), Hand book of thin film materials, Vol. 234, Academic Press, Boca Raton, FL, p. 183 (2002)

    Google Scholar 

  143. M.R. Visokay et al., Appl. Phys. Lett., 80, 3183 (2002)

    Article  Google Scholar 

  144. M. Kkoyama et al., Int. Electron. Dev. Meeting (IEDM), 849 (2002) and also J. Barnett et al., Cleaning’s role in high-K/ metal gate success, Semicond. Int., 29 (2), 45 (Feb. 2006)

    Google Scholar 

  145. D.S. Hausmann, E. Kim, J. Becker, and R.G. Gordon, Chem. Mater., 14, 4350 (2002)

    Article  Google Scholar 

  146. S.K. Ghandhi, VLSI fabrication principles, Wiley, New York (1983) and also P. O’Brien, N.L. Pickett, and D.J. Otway, Development of CVD delivery systems: Achemist’s perspective on chemical and physical interactions between precursors, Adv. Mater., Wiley-VCH, Weinheim, Germany, 14 (23), 237 (2002)

    Google Scholar 

  147. D.A. Buchanan, IBM J. Res. Dev., 43 (3), 245 (1999)

    Article  Google Scholar 

  148. S.A. Campbell et al., IEEE Trans. Electron. Dev., 44, 104 (1977)

    Article  Google Scholar 

  149. C. Chaneliere, J.L. Autran, R.A. Devine, B. Balland, Mater. Sci. Eng., R22, 269 (1998)

    Article  Google Scholar 

  150. L. Kang et al., Electrical characteristics of highly reliable ultrathin hafnium oxide gate dielectric, IEEE Dev. Lett., 21 (4), 181–183 (2000)

    Article  Google Scholar 

  151. H. Yang and G. Lucovsky, Integration of ultra-thin (1.6-2.0 nm) RPECVD oxynitride gate submicron C-MOSFETs, IEEE IEDM Tech. Digest, 245 (1999) and S. Kubicek et al., Low VT CMOS using doped Hf-based oxides, IEEE IEDM Tech Dig. (2007)

    Google Scholar 

  152. E.H. Kisi and C.J. Howard, Crystal structure of zirconia phases and interrelation, Key. Eng. Mater.,–, 1–36 (1998)

    Google Scholar 

  153. J.P. Chang, Y.S. Lin, S. Berger, A. Kepten, R. Bloom, and S. Levy, Ultrathin zirconium oxide films as alternative gate dielectric, J. Vac. Sci. Technol., B19 (6) 2212 (2001)

    Google Scholar 

  154. J.P. Chang, Y.S. Lin, Y.M. Sun, J. Lozano, H. Ho, H.J. Park, S. Veldman, and J.M. White, Appl. Surf. Sci., 161, 115 (2000)

    Article  Google Scholar 

  155. M. Copel, M. Gribelyuk, and E. Gusev, Appl. Phys. Lett., 76, 436 (2000)

    Article  Google Scholar 

  156. G. Lucovski, J.L. Whitten, and Y. Zhang, Microelectron. Eng., 59, 329 (2001)

    Article  Google Scholar 

  157. W.A. Harrison, Elementary electronic structure, World Scientific, Singapore, Chapter 17 (1999)

    Google Scholar 

  158. P.W. Peacock and J. Robertson, J. Appl. Phys., 92, 4712 (2002) and also L.F. Edge et al., Measurements of band offset between amorphous LaAlO3 and Si, MRS Symp., Dec. 1–4, 786, (2002)

    Article  Google Scholar 

  159. Y. Xu, Ferroelectric materials and their applications, Amsterdam, North Holland (1991)

    Google Scholar 

  160. Y.S. Lin, R. Puthenkovilakam, and J. Chang, Appl. Phys. Lett., 81, 2041 (2002)

    Article  Google Scholar 

  161. D.M. Hausmann, E. Kim, J. Becker, and R.G. Gordon, Chem. Mater., 14, 4350 (2002)

    Article  Google Scholar 

  162. J.P. Chang, Y.S. Lin, and K. Chu, J. Vac. Sci. Technol., B-19, 1319–1327 (2001)

    Article  Google Scholar 

  163. M. Putkone and L. Ninisto, J. Mater. Chem. Roy. Soc. Chem. London, 11, 3141 (2001)

    Google Scholar 

  164. J. Chang et al., J. Vac. Sci. Technol., B-19 (6), 2131 (2001)

    Google Scholar 

  165. J.P. Hopwood, (ed.), Ionized physical vapor deposition, Academic Press, New York (2000)

    Google Scholar 

  166. M. Hatmanova et al., J. Mater. Sci., 6 (11), 2387 (1996) and S. Pae et al., IEEE Symp. On Int. Reliab. Phys. April 27, Phoenix, AZ (2008)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Tapan Gupta .

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Gupta, T. (2009). Dielectric Materials. In: Copper Interconnect Technology. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-0076-0_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0076-0_2

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-0075-3

  • Online ISBN: 978-1-4419-0076-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics